找回密码
 注册
关于网站域名变更的通知
查看: 1858|回复: 4
打印 上一主题 下一主题

请帮我看下下面的代码错在什么地方,谢谢!

[复制链接]
  • TA的每日心情
    开心
    2021-8-20 15:20
  • 签到天数: 12 天

    [LV.3]偶尔看看II

    跳转到指定楼层
    1#
    发表于 2013-7-24 15:01 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

    EDA365欢迎您登录!

    您需要 登录 才可以下载或查看,没有帐号?注册

    x
    我最近在看中国电力出版社出版的FPGA嵌入式系统设计与开发指南这本书,下面的代码是本书的第第一章的第三段代码,我使用QII 11.0WEB版本无论如何无法正确编译,总是提示10559错误,请各位高手帮我看下问题所在,谢谢!
    % a8 k- M+ [9 D  n& _: I
    ! p% t, ]: y, a4 Z$ R3 U9 O! l7 y) p! _% w* ^8 Z% R
    library ieee;6 V4 v7 g$ o4 B  E0 L  ?
    use ieee.std_logic_1164.all;7 V/ T  t7 u* ^) x
    use ieee.std_logic_unsigned.all;
    2 X6 z$ d) o# _2 e: {( bentity counter8bit is
    5 D- m) ]  ]% \. T( l  port(int ,reset,enable: in std_logic;count_out: out std_logic_vector(7 to 0));, h6 s9 j4 L- G; K- e; I5 W
      end counter8bit;
    : r0 v9 m% A: j6 k8 R. `9 ?0 e2 {6 q    architecture counter of counter8bit is
    + ~0 o+ A0 d1 P, w         signal count_in: std_logic_vector( 0 to 7);
    ' t0 J( h$ ~1 q, I  e! I         begin' ]2 J+ Q# A2 n7 O
              process(input,reset)4 |1 z, N; g6 Q; K. E' {. E! b
                 begin
    0 N3 a2 Y/ O* e4 \                    wait until rising_edge(input);9 B. D- B" }; Y' V, O, _& T
                              if reset='1' then
    ; s4 i$ q( A8 X% Z. m9 D2 S                           count_in<=(others =>'0');
    ! k( p1 J( t! t9 N% H                                elsif enable= '1'  then
    ! l4 ]3 e' O6 `2 V/ p  S; a6 L4 x                                  if (count_in="11111110")  then 0 X1 j1 |+ x5 o9 e+ _8 S. T+ [# K% }
                                        count_in<="00000000";: d5 G9 W" Q3 P9 F1 x! z
                                      else
    # e5 S0 D, m* B& p                                         count_in<=count_in+1;
    ; t- ]5 u- ?; F% [% {                                  end if;
    ' c3 t8 T) b  Z4 I) U( o; ?                         end if;3 [- H5 T7 |" _" d5 B& B9 _
                             end process;+ m9 j, A# z: n5 S. y
                             end counter;1 P% ^; x: q) q( h9 H2 x" S( Q6 t
                                             2 v$ j% e; L+ _5 e! j
    + e: D1 C3 c. F4 m

    3 `0 L( a# d: |0 B& k; N0 KlInfo: *******************************************************************
    8 b4 l: [# m9 m, dInfo: Running Quartus II Create Symbol File
    % t, O. H3 B9 s9 _: ~, O. m        Info: Version 11.0 Build 157 04/27/2011 SJ Web Edition
    9 u* A) _9 i. s* _, e. M- K. s        Info: Processing started: Wed Jul 24 14:43:41 2013  L& g/ z+ j& X7 f* ?  p
            Info: Version 11.0 Build 157 04/27/2011 SJ Web Edition
    & r$ z8 r- @$ }8 N3 @" b0 s        Info: Processing started: Wed Jul 24 14:43:41 2013( ?  U% p3 f( X* ?( @9 l/ Y
    Info: Command: quartus_map --read_settings_files=on --write_settings_files=off penlvji -c penlvji --generate_
    / G* g8 Z# o! c5 P4 {: q2 VError (10482): VHDL error at counter8bit.vhd(12): object "input" is used but not declared. E, S. l9 W1 Y4 f8 V
    Error (10559): VHDL Subprogram Call error at counter8bit.vhd(12): actual for formal parameter "s" must be a "signal"
    ) u( C) f  d% ^# v( }% q- j. kError (10482): VHDL error at counter8bit.vhd(10): object "input" is used but not declared! n& A1 L$ o/ C& O, }# S' h- m7 C4 g
    Error: Quartus II Create Symbol File was unsuccessful. 3 errors, 0 warnings
    ! ~) {( n" O. `9 C' v% I       

    该用户从未签到

    2#
    发表于 2013-7-24 15:12 | 只看该作者
    这个错误信息给的还不够明显?
  • TA的每日心情
    开心
    2021-8-20 15:20
  • 签到天数: 12 天

    [LV.3]偶尔看看II

    3#
     楼主| 发表于 2013-7-24 16:56 | 只看该作者
    但是我看了line12,没找到错误啊! H0 {% U# @. z, C# x

    该用户从未签到

    4#
    发表于 2013-7-24 17:08 | 只看该作者
    你的输入接口只有int ,reset,enable。"input"信号在哪里呢?

    该用户从未签到

    5#
    发表于 2013-7-25 22:50 | 只看该作者
    object "input" is used but not declared
    ! u7 X/ C7 U; k你先把这句话翻译了再说。
    您需要登录后才可以回帖 登录 | 注册

    本版积分规则

    关闭

    推荐内容上一条 /1 下一条

    EDA365公众号

    关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

    GMT+8, 2025-7-21 19:39 , Processed in 0.109375 second(s), 24 queries , Gzip On.

    深圳市墨知创新科技有限公司

    地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

    快速回复 返回顶部 返回列表