找回密码
 注册
关于网站域名变更的通知
查看: 321|回复: 3
打印 上一主题 下一主题

简谈FPGA规范

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2021-10-20 10:19 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
在逻辑方面,我觉得比较重要的规范有这些:0 Q, \! J! Y) E4 O) ~) M5 M- Y

) ]6 x( i6 y& B, C+ A1.设计必须文档化。3 y7 v* `7 D( B' Q9 D

- t; s; J& W! V, t1 }要将设计思路,详细实现等写入文档,然后经过严格评审通过后才能进行下一步的工作。这样做乍看起来很花时间,但是从整个项目过程来看,绝对要比一上来就写代码要节约时间,且这种做法可以使项目处于可控、可实现的状态。
, U& z/ |/ [, Y1 ?, n- i; T- G* j( G! c( x! Y: U- i
2.代码规范。6 }5 W2 `" J/ P+ N
+ a. J6 V$ Z# Q1 Y1 l# {0 |
a.设计要参数化。比如一开始的设计时钟周期是30ns,复位周期是5个时钟周期,我们可以这么写:
) f( k" k% B3 d7 ^5 M! F
5 P! U( a) g4 ^" ~& W6 @! tparameter CLK_PERIOD = 30;  B; e0 {" M0 m  X  n- C: T/ ~6 C

' a- V: F7 p4 P5 dparameter RST_MUL_TIME = 5;4 F5 {/ P, O2 R( s

; _8 ]' O9 G: Y) A3 t+ |  eparameter RST_TIME = RST_MUL_TIME * CLK_PERIOD;
' f/ r9 p" F$ s* g; m, `0 E: p, Z$ [: S4 {0 k4 t
...9 V9 X& d* l/ ]- o+ u- q. n
! M2 o# G) m  W0 V4 g
rst_n = 1'b0;
7 s1 D( ?& }7 p- [# i' y$ m
/ _+ J& U7 K( r/ k) x- M+ S$ N* I# RST_TIME rst_n = 1'b1;& H2 s) _0 o4 X* H; R# h3 N/ r3 X0 `
  x' M) [2 I) J  W
...
, {, X  W  |+ P1 l2 }" T/ f; q
# CLK_PERIOD/2 clk <= ~clk;
2 R) R; h" O+ V1 {7 y
5 f' F6 g2 @% w, w/ N/ q  A如果在另一个设计中的时钟是40ns,复位周期不变,我们只需对CLK_PERIOD进行重新例化就行了,从而使得代码更加易于重用。
8 W4 G6 K1 }% x2 c" s: C7 i/ b- C9 x0 n" w4 F
b.信号命名要规范化。% ]+ u1 M- W2 s  r

) o8 j: @1 h/ V: S1) 信号名一律小写,参数用大写。/ f* K1 h; d+ w2 L8 i& H- t7 z

& l; V* _9 g0 H' ]$ ~9 S4 f% ]2) 对于低电平有效的信号结尾要用_n标记,如rst_n。9 A7 V4 X2 G5 d4 V! E# o: C
; `; Q" ?" q8 B  n
3) 端口信号排列要统一,一个信号只占一行,最好按输入输出及从哪个模块来到哪个模块去的关系排列,这样在后期仿真验证找错时后 方便很多。如:+ {% [% m0 E# W1 g

; _5 P2 }1 \% x7 kmodule a(
& f" |; ?& A4 Y
  A, o# |$ Y5 R. O; n" ^+ o9 f//input; @7 ]1 K  f8 Q7 l

2 B4 E4 k5 Q, V2 ^# Wclk,
  U& a/ F7 g9 H! {& D
& ?' g$ D& n7 j9 x# Xrst_n, //globle signal
+ a! y( \2 h2 P& R8 }- ?7 }; k4 j5 {. T) }
wren,; Q1 @; e& f0 c. t$ a$ _: Q

5 d/ s/ f6 P; drden,3 J' d3 Y: C0 K& }: K$ \

; ]8 L: f" `% K- Gavalon_din, //related to avalon bus$ \$ F  x" O1 d

9 `* H. K8 K' Lsdi, //related to serial port input
: \& j/ `% l: M4 a3 _4 S$ p- j: Y, h1 z
//output
) c3 b; [  u' E" K9 X7 \$ A8 L- e0 J2 s$ z: A. ~
data_ready,/ g) Q3 ]. E' G* o3 ]9 D

/ D0 _, p% c4 uavalon_dout, //related to avalon bus( z4 `. I2 L% l9 B. I0 ]* |
+ Z! W* Y) H( q0 S4 G
..., [7 P. @6 \5 |% W  R4 k- B2 _

( b# o6 J& a  f2 s' @2 D  `8 T- }  @);6 ^* V- D! X, I8 f: X

  P1 I2 p- w+ p, ?4) 一个模块尽量只用一个时钟,这里的一个模块是指一个module或者是一个entity。在多时钟域的设计中涉及到跨时钟域的设计中最好有专门一个模块做时钟域的隔离。这样做可以让综合器综合出更优的结果。
+ P" y6 H: I2 z2 O
" q, ?, r! {- H, Z- q* S4 q) O7 H$ K  \' j; i7 u
' N+ {* J9 i; [7 F7 z  I
5) 尽量在底层模块上做逻辑,在高层尽量做例化,顶层模块只能做例化,禁止出现任何胶连逻辑(glue logic),哪怕仅仅是对某个信号取反。理由同上。
  b* r" I) C' Z; x+ z7 e7 U+ T$ [3 S1 w- J7 D3 \6 W
6) 在FPGA的设计上禁止用纯组合逻辑产生latch,带D触发器的latch的是允许的,比如配置寄存器就是这种类型。5 E, |) k, A  S% a' p7 z
: k. H" }9 P/ h3 {
. W9 G" O6 z% a7 W  z: i2 v# k2 h
# f  Q0 p0 H. P! {; M
7) 一般来说,进入FPGA的信号必须先同步,以提高系统工作频率(板级)。所有模块的输出都要寄存器化,以提高工作频率,这对设计做到时序收敛也是极有好处的。$ l& d  {) v1 o* S
1 w9 Z4 V# g5 [, ^' V0 H

' f& `3 T( E3 E* e7 B0 o
* j4 {5 l7 }, C2 u$ e' L9) 除非是低功耗设计,不然不要用门控时钟--这会增加设计的不稳定性,在要用到门控时钟的地方,也要将门控信号用时钟的下降沿 打一拍再输出与时钟相与。! P, O( w, _2 G! I' e3 R+ p
6 M% c, ?9 S5 x) Y, V! s
最好的解决门控时钟的办法是使用或门(上升沿触发),如果门控触发器是下降沿触发,则应该使用与门。; X6 D% d0 w# H8 r* v

" D( X* ^- b( h9 J* H" Mclk_gate_en -------- ----
% f! J3 ^8 E8 f& V* _$ I. J) C3 U' E8 O% g4 o% x$ [- W
-----------------|D Q |------------------| \ gate_clk1 v( u7 d1 S- [' B4 x! f
5 \. j  P/ `6 S; n& A
_out
' y! q7 [' z7 F/ U, C+ H2 K* a) w% k; y; F' ~" i$ G5 b! v3 l
| | ---------| )--------( D9 C! n5 O) z' k$ P% h6 L0 B
: F. Z$ M( l9 ~+ k  d% l" i5 U
-
+ O+ ~$ R2 [( N4 y! j* @4 @# r( L# X1 o; ^" F$ e# M/ |" z: L
------o|> | | | /& m+ f. b% o/ {( x, j2 L# U1 k& p& y& w

% \& _3 \1 P+ A! f6 b( `3 v2 _  Yclk | -------- | ----4 t8 V' I5 B5 Z' ~
. ~  D% p) `) H: f, F
------------------------------------
$ I1 u/ M5 f" l; f  n  Y$ @* m! }1 J1 g1 B% \/ i3 j
10)禁止用计数器分频后的信号做其它模块的时钟,而要用改成时钟使能的方式,否则这种时钟满天飞的方式对设计的可靠性极为不利,也大大增加了静态时序分析的5 ^, ^% \  ^& a$ T4 u/ l) i
9 [( b1 p) m% H, j
复杂性。如FPGA的输入时钟是25M的,现在系统内部要通过RS232与PC通信,要以rs232_1xclk的速率发送数据。
4 L* |0 F& t& v. f2 \$ [6 o. u. K. {& n7 o& X( L% F
不要这样做:
9 ]0 [+ R) P' A- T
6 X" o7 l$ }! K) H. L" ~( q) n# z9 ialways (posedge rs232_1xclk or negedge rst_n)
# {  |1 r* i5 u" i+ d- q- e, r1 k' F" s, t3 l5 Y' l: {( ~
begin
, A3 ], f* S; g: o2 C4 e5 _* T' J# o
...( p, P& P  X$ x' A5 e1 E. o* V
9 n6 u7 l: F7 B* X9 S5 {
end
3 K" C. `0 Q9 }# B9 S
2 z2 k: {. o( {3 ?* Z而要这样做:
+ h% B# x% s/ Y: c4 C2 I  p. B% r
always (posedge clk_25m or negedge rst_n)
9 m1 U) q0 d* x' }9 [9 h! U: q+ t* e
begin
  J4 w1 {" g- y8 o. d6 x" ~0 i% Q% `& l! Y$ y# e
...8 `2 s( r7 r8 v7 Q- D8 i
1 e$ `9 h& N4 K4 x8 U' j( Z
else if ( rs232_1xclk == 1'b1 ); u; n& `4 X/ K8 G; n& I$ m8 G# B
! ]# A  L2 X+ _
...4 p: a" l7 P9 J
! Q5 B: o2 W" i. A. N' ^4 I4 S
end6 r! {4 ?3 H' B$ `
. `3 d% t* E( @
11)状态机要写成3段式的(这是最标准的写法),即
: f' a+ Q$ S4 p# l
0 \/ Z& H0 n4 a# b  `...
' F2 X0 L$ t! M3 r
3 `: }& X/ X6 }always @(posedge clk or negedge rst_n)
+ e) w! T0 ^, e+ z5 C
8 L. s1 U5 C( e; V2 j9 t...1 A6 f1 e- W0 H
$ l$ ]& b; X, @4 k0 P* I2 `
current_state <= next_state;4 J0 C: o$ |7 I, a: {6 r; Q

- w; @( k* R# {5 _2 n5 L...
$ V& k0 K9 D+ Q# }& e0 n1 k" H1 O) r2 L. ?
always @ (current_state ...)3 Z$ t5 I+ _" B) z6 s
. _1 B% n9 I, I; I9 z
...
; W0 c- q6 L; H; o/ K# C
3 u0 j/ A. c& fcase(current_state)# Y( g& J' l% G$ _; ^

. c3 r/ t6 i- q5 R9 j$ Y3 |6 p...
  w& n( y  V; p6 y) U  }# V) Q% Y8 B" n  U
s1:
  W) v, l4 u4 m# x1 [0 V, X& ]3 J
" E! ~8 ~3 U# g  q: T) Pif ...
' W; E, y. l2 f  |+ q; t2 V
% N) \$ y# @, m: ~. j% _next_state = s2;, L, b7 ]/ o8 C6 H

& [9 f5 k3 g3 N, a...; z8 d" J, _. ?  h) k. t
! Q" D+ w2 i5 [( r6 z% H2 x! Y$ G
.... p  t5 ^4 {, G5 c+ S
9 W" b5 V; a( U8 [, q$ i# Z( v5 L
always @(posedge clk or negedge rst_n)
5 o! ^9 g& q7 V  a
* W) I4 I" x* }( y: F7 H8 Q..., F# e' c" V5 r2 R

5 N" p0 O1 S6 E8 E2 H% x! gelse
1 l8 j* x7 O3 `1 k* L- ~8 Q* S% E' G
! Q4 w# }) V2 n4 X/ e, |% w1 ?a <= 1'b0;8 d& L' ^4 y# Q4 {

. x) o' `8 h! Y5 Q* vc <= 1'b0;
) z4 C# D8 |' X% O6 S- I
3 X/ }5 C( N5 }! qc <= 1'b0; //赋默认值& H' u5 k$ N% H: H% w, n6 E

" k5 n, a+ v* w. c8 ~9 y8 Ucase(current_state)
' _  r) w* _& x8 A; S9 a, Q4 [' n/ R
s1:
0 k" M. Y* _2 Z5 Z/ n" N
# W* t# z7 }! Y+ I% ta <= 1'b0; //由于上面赋了默认值,这里就不用再对b、c赋值了(b、c在该状态为0,不会产生锁存器,下同)+ u; [) f4 M2 B0 k

. _+ E0 x  C5 b) W& ^6 Qs2:# I; n- M0 r; J+ }3 M5 b
+ n* H% Y& ^3 _+ Y( Q
b <= 1'b1;
" A: ], X! h+ B2 h( H
* H" [" a3 k' o1 Ys3:
: R' E- b# o, J- `  v
3 p, }9 W. }( _4 n5 ic <= 1'b1;
/ a& p! F+ S! H- l( ]
2 Z$ _! s/ ?; T  |default:5 I5 y' D7 L! O' t

: @( v6 R( p1 Y; a5 B% S0 m# O.../ r5 c8 h$ J% N( n+ h

- Y: C* v- d& [...
* O' z5 s' d2 _9 E3 [. ^
, e2 ?5 N: X: {( D3 Q//第一个进程,同步时序always模块,格式化描述次态寄存器迁移到现态寄存器- U* z  X" d$ r1 O0 x
4 P: n" s$ G% Y9 J
always @ (posedge clk or negedge rst_n) //异步复位- H6 W% P: ^4 e

5 D0 h. ?4 ]8 X; I0 g' j4 ^& yif(!rst_n)
/ L3 T' e3 ]8 d+ h6 d# k& i% y* i6 ]/ ^# {% }! ~. o, ?8 Z
current_state <= IDLE;4 P! Q5 E7 Y; i7 }

+ ^  _) {+ M5 B; j$ ?; X9 |else
! J# p* L4 [; q; n; H- P( e  ]; q" O9 @
current_state <= next_state; //注意,使用的是非阻塞赋值! V9 ^3 f2 c5 h( w/ i: L

! w. X3 G8 @4 \) O9 n9 w//第二个进程,组合逻辑always模块,描述状态转移条件判断' s; z1 b+ d( s
7 E1 j; V: a: v+ m6 M* q$ h
always @ (current_state) //电平触发
2 W3 F& n/ q5 b. P" s1 K
. \5 Y# D* t7 N$ x+ d2 Kbegin0 B2 h, Y1 r$ ~) C
# Y, C8 a' j1 I8 z+ a, X& w( r
next_state = x; //要初始化,使得系统复位后能进入正确的状态  z4 }+ f0 D5 Y6 B9 w+ @4 S
4 ?4 r% j/ n3 D$ w
case(current_state)
9 S% I# N- f1 `8 b7 N1 w' o# X' [$ C( c
S1: if(...)( d4 ~, p+ K, e) _6 I- \
5 f8 T) \, {$ B
next_state = S2; //阻塞赋值
& `! h. B7 o, t5 j7 b% h% \" F% h: ?( c* G& K+ G; ^( u3 f2 k6 |
...1 L. b5 X" |) L

' j' U9 v9 U) H/ z3 tendcase7 w! G& B: c# t2 \; w% d- Z, i  h

+ o7 J3 @6 K3 G' m3 G' v8 V" h0 Qend
6 h: N. Z4 `  k& F; J, ^# e: r  N8 m. L$ q* }. X
//第三个进程,同步时序always模块,格式化描述次态寄存器输出
" K$ D( Z% E5 g) h0 P1 u) a
1 V# `0 J/ e4 w4 Q  v; {6 ]always @ (posedge clk or negedge rst_n)
8 n+ ?* h  {# w/ _6 y+ C
, C+ N8 i7 |. o...//初始化
' n9 S+ n4 n7 N6 E  [6 D/ k: |( B8 k% B- k; y
case(next_state)4 c8 Q% k0 e$ {
5 w: J5 _% O5 r1 }2 O+ f
S1:) ^, p* n% F# j! o# G/ T3 G
* U( Z& r2 p# x" I! S- o, F7 a
out1 <= 1'b1; //注意是非阻塞逻辑
* z# m6 E+ ], F6 g" G- K  O# |/ s6 I
S2:5 V4 v6 Z6 T0 K9 r1 H

3 l$ E: j( V* S/ T# gout2 <= 1'b1;
% G9 g$ z# J, s4 G/ D# y4 q' N0 R
: j5 E6 m3 k% u2 U; p: Zdefault:... //default的作用是免除综合工具综合出锁存器
$ @1 T8 C) z/ q7 V! N/ C
7 v8 X: V6 b! a* k+ p  b2 Bendcase  c5 g0 c- O3 H/ j, z
3 v  U. X1 t* n5 j" Q; W/ {
end
" O$ M. n+ D" l9 t. O# H5 s6 ], j" p8 W# o2 I  M; x
3.ALTERA参考设计准则- \* ~- Z, s! N: T/ q& i
! Q6 P. t& Z5 V$ V5 `8 j: H' ?
1) Ensure Clock, Preset, and Clear configurations are free of glitch
) e3 y6 _- C1 [. c& U5 O, w
" e4 }( P, E0 K/ H1 }es.(确保时钟、预置、清零的结构单元没有毛刺)1 z8 o+ E1 r7 C  z

/ U, ~1 D7 R' ^; V7 S3 b1 n2) Never use Clocks consisting of more than one level of combinatori
5 z* f" Q/ v" R" ]. h4 P7 U
5 k. \& s& b5 f# A; t  a3 Ral logic.(决不使用由多于一个水平的组合逻辑组成的时钟): u- a. K" E6 T$ C: h9 a

+ P  O  J! q6 `7 P: N3) Carefully calculate setup times and hold times for multi-Clock sy
2 v" n( L- f! E" k
: c6 o, l, j3 |0 Q; A: m! l- Hstems.(在多时钟系统中认真的计算建立时间和保持时间)$ Z8 N/ p/ h6 m9 \8 b- b2 {  V
3 u, n: \1 B4 ?7 D3 ~) F
4) Synchronize signals between flipflops in multi-Clock systems when
8 G! U7 W& k% I, V. {3 R( Y8 s) @
5 \4 j! j% G' P6 O! Dthe setup and hold time requirements cannot be met.当建立时间和保持时间不能够同时满足时,同步多时钟系统中各触发器之间的信号。# P$ K% u) ?3 `; S: }! S
( i! I' \* r, V7 L  t
5) Ensure that Preset and Clear signals do not contain race conditio
+ E- d) O* s$ F* _
8 m2 Y$ y5 z- U0 J& lns.(确保预置和清零信号不包含竞争冒险)' g. R5 y9 E, |# p6 n4 s

, G( ?2 c. {' P* M1 @* O, @6) Ensure that no other internal race conditions exist.(确保不存在其他内部的竞争冒险)+ T9 P* h6 B( w! y5 d; ~5 a5 @0 S

0 g& T  b# i* d& z4 _  r$ A7) Register all glitch-sensitive outputs.(寄存器都是对毛刺敏感的输出)
% O2 V# W0 i) ~7 Y9 c8 b9 m$ _/ u  |: g8 m
Synchronize all asynchronous inputs.(同步所有的非同步输入)
" ^3 W5 \3 u" L; B0 W) V+ l7 E3 n' G$ Y( o+ @8 ?; Q- @* I9 Q# ^  y
9) Never rely on delay chains for pin-to-pin or internal delays.(同步所有的非同步输入)
0 H( m: `2 F, E" f& ~& W, P+ W8 x2 ^( K" P7 e8 O
10)Do not rely on Power-On Reset. Use a master Reset pin to clear al3 d- X: T/ @0 f5 l7 Y; p& C) d

$ W9 E! G) N  W( Pl flipflops.不要依赖于上电复位。用主复位引脚去复位所有的寄存器$ E; e4 M# M0 L4 D* U6 I: f+ j
% z  O8 s2 U' G
11)Remove any stuck states from state machines or synchronous logic.(从状态机或同步逻辑中去掉那些冗余的状态)
: F" N$ }, h- w* ]: a* t( s

该用户从未签到

2#
发表于 2021-10-20 11:07 | 只看该作者
要将设计思路,详细实现等写入文档,然后经过严格评审通过后才能进行下一步的工作
" H* Z- `+ ?( c

该用户从未签到

3#
发表于 2021-10-20 11:27 | 只看该作者
端口信号排列要统一,一个信号只占一行,最好按输入输出及从哪个模块来到哪个模块去的关系排列,这样在后期仿真验证找错时后 方便很多
$ R9 i0 f+ N8 `6 E

该用户从未签到

4#
发表于 2021-10-20 13:26 | 只看该作者
禁止用计数器分频后的信号做其它模块的时钟,而要用改成时钟使能的方式,否则这种时钟满天飞的方式对设计的可靠性极为不利,也大大增加了静态时序分析的
5 {. ?0 V7 X# r) G
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-8-3 07:25 , Processed in 0.125000 second(s), 23 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表