|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
VHDL编程设计技巧
! S; E/ k5 ^+ s& Q w, x) I8 e) A1 O/ L% f4 A5 ^
介绍了在VHDL编程设计中,描述方法对电路结构的影响,不同的状态机描述方法,层次化设计的基本思想和原则,Block RAM的结构、VHDL程序、宽度和深度组合形式,基于IP Core的Block RAM设计,数字延迟锁相环(DLL,Delay Locked Loop)、全局时钟网络(Global Clock Networks)、DCM(数字时钟管理器,Digital Clock Manager)的结构特点与应用。重点是掌握在VHDL编程设计中,锁存避免、寄存器使用、括号使用、并行结构、资源共享、数值比较等描述方法对电路结构的影响,单进程状态机、多进程状态机的基本结构模型,层次化设计的基本结构与方法,Block RAM的结构、编程、宽度和深度组合,基于IP Core的Block RAM设计,使用DLL、全局时钟网络、DCM消除时钟时延、频率合成和时钟相位调整等方法。) }& k5 a" ?% y& @! \4 a$ g
9 A/ } f6 o* B1 M, N7 y4 P( |
VHDL设计是行为级的设计,所带来的问题是设计者的设计思考与实际电路结构是相脱节的。设计者主要是根据VHDL的语法规则,对系统目标的逻辑行为进行描述,然后通过综合工具进行电路结构的综合、编译、优化,通过仿真工具进行逻辑功能仿真和系统时延的仿真。实际设计过程中,由于每个设计工程师对语言规则、对电路行为的理解程度不同,每个人的编程风格不同,往往同样的系统功能,描述的方式是不一样的,综合出来的电路结构更是大相径庭。因此,即使最后综合出的电路都能实现相同的逻辑功能,其电路的复杂程度和时延特性都会有很大的差别,甚至某些臃肿的电路还会产生难以预料的问题。从这些问题出发,很有必要深入讨论在VHDL设计中如何简化电路结构,优化电路设计的问题。用VHDL进行设计,最终综合出的电路的复杂程度,除取决于设计要求实现的功能的难度外,还受设计工程师对电路的描述方法和对设计的规划水平的影响。最常见的使电路复杂化的原因之一是在设计中存在许多本不必要的类似LATCH的结构。而且由于这些结构通常都由大量的触发器组成,不仅使电路更复杂,工作速度降低,而且由于时序配合的原因会导致不可预料的结果。: R( u: I' y6 }5 Y3 M& r0 P
8 W8 E% @" j5 Q* u
以下有2段设计,如果单从语法上来看是没有任何错误的,而且编译时都可以通过,但是如果从电路结构上考虑,它们都存在问题。" w" m( \0 a4 j6 }
2 X. ^2 g7 J+ c- rExam1: Process(A,B)
K; H/ x* f. E7 }) ]+ v6 w( h# ~3 p9 c
Begin
; A: c8 I2 q; _# I. f% M# J. \$ c1 l/ c( b& U, y0 d, M" h
If A=’1’ then0 j$ b: d' l0 h7 T' t
% v, o# q2 b+ P6 v: g4 s
Q <= B ; 暗指锁存) r' i$ R/ y/ a: `6 o
0 [+ L7 a1 s0 ?0 f9 b$ A
End if;
* e* c: H0 j) ~% }2 `+ G& C! {" F n) x' m
End process;
& x$ ]9 f) Y" |& h9 L Z; d, Z, C4 S5 w+ A
Exam2: process(C)
- z* H: X2 G8 t0 i9 r* o6 Q3 |. m" _) ^+ e; x/ ~2 [! B- ]8 C4 y
Begin
0 ]- D# ` o% E3 a f$ H8 u+ ?( @* ^. t+ a+ d. m) a
Case C is) j8 f* @( h3 I& U, f) b* W
9 `2 W3 X7 O+ t# U, `, xWhen ‘0’=> Q <= ‘1’;
; ^9 x# `+ V. q/ m) q0 c( m# T0 e2 k( s
Z <= ‘0’;9 X5 F8 b4 n# G! J8 |
& v0 u, q; f) D& u1 L l) q" L. B3 rWhen ‘1’=> Q <= ‘0’; 缺少Z的值
/ X. k& @1 b) g; c% j$ r" q3 G" R
" a4 E+ v/ g4 jEnd case;
% O# ^# K" {2 o- O8 k9 X I3 h0 r l f e6 O
End process;
( r' j8 [( M/ \ y, |8 r% A1 q1 q
& M9 m9 G" p; ?! k仔细观察,在Exam1和Exam2进程中的语句都有同一个毛病。Exam1进程中的if语句仅仅指明了A在高电平(1)的时候将B的值传到Q端,并没有指明A在低电平(0)的时候Q端应该是什么值。综合工具在综合时,发现这种不完全的状态描述,会将其综合为锁存(latch)。锁存的结构如图7.1.1所示。在ISE中,综合工具XST会发出一个警告: Found 1-bit latch for signal <q>。锁存是由与或非逻辑组成的,而这种结构在系统中多半会埋下不稳定的种子。
* b: e- \' K& C7 x7 i9 }# z. N! z5 k( f; f
再看看Exam2进程,有两个输出信号,信号的值在Case语句中被决定,但是Z的值只在C为‘0’时有明确的说明,当C为高‘1’时设计者并没有明确指出。所以同样会使综合工具理解为锁存。
% C6 w0 a. I4 {
+ p/ @+ U2 Q( s! y+ k. m) `2.描述寄存器代替锁存* I$ b; e& u0 b; l, }3 y
1 L' T9 L% v, `3 d- {既然锁存存在不稳定,那么有必要找到一个好的替代,那就是寄存器。寄存器由时钟触发,很大程度上抑制了毛刺。所以,尽可能的使用寄存器而避免锁存。7 c& r" x4 ?7 z5 {5 V1 O. c
+ P- p( C/ T2 _5 u: V* d4 C
下面是不同的D触发器的VHDL描述:
7 d' v# Y9 V4 e8 a9 l2 \1 R6 v# P8 w7 G! J' q6 y
DFF:process(clk) --D触发器
) A1 C. Q: U. H* `/ e5 m+ M0 t) Y$ T4 J) N
Begin* P) ?9 C, l7 t9 x
5 N( b* T/ O% g! C) h2 N4 Z6 U
If rising_EDGE(clk) then
+ x' f) w" x. v: K& A5 G
- u* t+ x! d8 V% ~: i& OQ <= A;
+ f& i( O4 b/ m( H" q6 _8 ~* |0 J
/ {9 e0 w9 \- L/ F% B( o$ u0 `5 _9 r8 h% YEnd if;! _7 X+ P; c& Y2 ~7 z( w( x
% |4 g# ]* D: P3 F; s
End process;9 m0 ]' \: n$ l# B- i7 p! m. g
# j/ b% K$ \8 O* m# U! z
DFF_AR:process(clk,reset)--带异步复位的D触发器, W' x, ~- n/ c/ ]
7 E& `. M8 ^, _/ s
Begin
, B9 J4 e$ |, w. N# @$ K0 l- ~% U% \0 Z% Q: G' r7 S
If reset=’1’ then
+ }; Q+ S2 y3 r, [' l& J' u0 T; v4 W! U7 ?
Q <=’0’;
" U0 W0 W; \' T0 l/ u# ^5 g. ~+ M% ?& ]2 V
Elsif rising_edge(clk) then& w# R% D/ [- {
7 `7 [# Z" l; J0 D+ T7 a
Q <= A;6 \) ~7 f8 c% {
) i" n1 o- P& P: BEnd if;
O6 G3 `2 w4 p# c$ Z9 R& E2 g2 S. K" [- ^; ^) i* T5 S5 }/ U
End process;8 ?9 ^$ I. X( ~' l9 R& N3 j6 Q9 R' d
- x$ f) t, V/ k# o; T( M
DFF_AS:process(clk,reset)--带异步置位的D触发器
, m n& M3 c' e" `% U0 U$ I( J: ^0 k3 V. i$ D
Begin
! g' U! P' O& T& {1 J! j/ g& ?& ` V5 Q, |% m% f! ]* ]
If reset=’1’ then7 e4 j, {+ A$ p/ d
; t& X- G# H5 r$ {1 A) {/ d( g( G' h, {
Q <=’1’;! x' N3 ?3 ^* j/ }3 u/ X
* p" t2 ~# J6 W2 r) j
Elsif rising_edge(clk) then, o6 z& x# d# o* x
/ g4 q) \4 i, f5 z$ {Q <= A;
+ u# C* y8 L9 ]* g, d+ j8 L
& i. d5 q9 ^0 v" m! ~4 NEnd if;
' o# g h* _/ e8 S( h; l) d8 R4 S. q2 _9 F) R+ T; \1 L; e
End process;" K" o. e1 J( i/ @0 N
7 _' n0 O4 l, ]7 i) `! l
DFF_SS:process(clk,reset)-- 带同步置位的D触发器
% e7 v, l& z" H( b' i. M6 e P& p6 x y& U5 M" E3 ?
Begin8 D! m, l+ L9 ^% T: d
T# o* X; f# ]# y$ U* cif rising_edge(clk) then
. s9 G3 r5 A- F9 j( i* H6 F* F8 p A- s5 n+ r& K
if reset=’1’ then
4 R, h/ b/ t& D, d k3 ~
$ w8 e3 K8 Z- q* z4 v; [Q <=’1’;8 I4 ?, V' U1 ?2 u
8 U8 H: d) H: {$ T8 }, z Xelse
0 l% X1 C, F& n& \1 Z1 k5 ~" W7 z* B4 v# a# l7 ?3 ?' n5 Y
Q <= A;: s% i* h( i% G$ g
. F5 Z# T6 H r& h9 F3 f7 y; Y
End if;# M- i L1 a$ e6 J% _# R
( \& j0 O& U) ]# Z+ dEnd if;5 z6 I4 q" y8 |
* B- ?5 X/ R0 w( b3 f) p6 ]
End process;3 M$ K9 S! ]7 j5 G% R' M1 r
3 p6 s( G% H: T/ v/ w0 t9 B& u z
从上面的例子可以了解到不同的描述对电路结构的影响,在下面的一个例子中,有两种不同的加法描述,其电路结构也是不同的。
! v3 d1 j5 b: U
5 Z6 V! @' b: ]7 }( s" {3.使用括号描述想要的结构2 U' ^$ G. G9 C2 [5 T0 g
; T/ W; s' r/ E
(1)Out1<=I1+I2+I3+I4;
; x5 @# t( o$ V$ o/ } ~9 R( E1 ]: X2 Y2 [
(2)Out2<=(I1+I2)+(I3+I4);
2 d6 V. ~7 J1 q2 C, G7 [' Q5 ~7 h: r* w% ~' @5 ^, u$ {; z
从结构上看,第一种描述中没有使用括号,电路结构为三层,加法一级一级的进行。它的特点是,四个输入I1,I2,I3,I4到达加法器的路径不相等。第二种描述中的使用了括号,电路结构分为两层,显然它的特点是四个输入信号到达加法器的路径是相等的。这里并不能简单的评论两种结构的优劣,必须根据实际应用情况分析。
( \9 B, X& x {) v: `. ]
( Y$ I ~% N' c& S4.并行结构
|" V5 `0 N: `* H B& w
) W; w7 J! w6 s1 {在VHDL语言描述中,进程中的语句是顺序执行的。如果要设计一个并行的电路,不要使用顺序语句,而要使用并行语句。
( ~# ]; t& l5 |3 n+ r0 v3 C2 n8 E5 W3 e- B7 D# x! q* }4 y
方法1. Process(A,B,C)
( W, i# c8 U# H& ~+ ~" X* Z
. I' j$ B! ^2 h; K" v( K* K# W; Y- Obegin
3 h, ]- G9 }; Q/ U5 c. F, b* X8 C
. r+ |! z T- B6 E0 kif C=’0’then$ W6 O9 O. j8 h9 i* s( _( v* z
" g0 A4 }5 x* c! Y4 Z/ R/ c2 F
Y<=A;
! S+ X" v1 X# T( k
9 z {! G& c% xElse
9 L/ U9 A/ X( M5 B3 D3 [# g$ m5 e, V
2 G. r5 i f- h+ z' O w2 ~2 fY<=B;
' `# a v! L" Y% a6 r. H3 @! A: ~; _( G# I+ e6 u2 t5 o! R
End if;
/ V. ]3 T" z) y$ d; {* \3 G2 H5 Q" {' h9 S# G( F8 A" R
End process;+ d4 b- ^( g$ }
# j9 m5 f( k1 }
方法2. Y<=A when C=’0’else B;
( h$ Q; _* S6 ^9 Q& Y% O/ _6 }6 \, Y: d0 @
如果电路结构是并行的,那么使用方法2描述要比方法1好。* p1 O" J3 M" M+ C$ E
3 Y S+ r3 Z( `! a f/ t: ^
5.资源共享, i6 o" G# k X- y( G
% X% F7 c z5 j0 A; p. r9 P, {5 w如果有可能的话,尽量使资源共享。一块FPGA芯片中的资源是有限的。如果不有效利用仅有的资源,将会导致资源不够,系统冗余过多,稳定性也变会差。8 r4 R4 w: b" D7 I: ]& S r
( f! b- _2 K t2 q, A
加法器的资源共享3 G' H7 y* q8 ~! {' ^. N1 ~
& n/ }' U8 z" m! h0 t+ F* R
加法器的输出Z是A加上C或者B,A与C、B哪个输入相加由选择信号S决定,由于在设计中采用了多路选择器(Mux),在结构上可以得到两种形式。一种方法是采用了两个16位的加法器,两个加法器的结果通过MUX由S选择输出。而只采用了一个加法器,它将C、B信号通过MUX后的输出送到加法器的一端,另一端连接A。两种结构都能达到设计的要求,显然,后者的设计设计占用的资源要小些。
1 {/ @2 A& m6 w9 h" `8 W$ n1 f
, i/ N- p7 p# U在使用VHDL描述时,在process中比较容易做到资源共享,源程序如下:" x; h* x/ p5 o. y F
4 f. Z( e/ e9 y
process(A,B,C,S)
. [9 O! c, O& w) H
' T3 T5 ]+ c$ v' bbegin j1 y3 L. J# U& H
5 _" E# ^( ?/ G, Kif S=’1’ then
- a# U8 L7 e7 ~" K/ c
4 G# Z0 H- g3 t7 qZ <= A+B;# G8 t; ^& w3 r0 q% C: y* v0 r
G' H& u* ?$ O# V$ e- ^) V1 lElse! x5 p& Y. Y3 `; y5 U2 ~1 H
* S9 x# j7 V# Q' l; m- i/ {Z <= A+C;
( p" E( U8 H* T4 y! ^0 ~
9 x! z- N/ n6 T4 _& S* k yEnd if;
5 P! H9 V( P* \1 `8 D# \- j9 J0 @7 j) D. B" V
End process;1 v* u5 f i6 {
, s/ h/ F7 z. v) @6.integer和std_logic_vector
* q9 q6 y7 q4 S3 ^1 B8 A) j5 J" b9 {" l" ]9 ?+ a8 x
在VHDL中,信号使用限制了位数的std在VHDL中,信号使用限制了位数的std_logic_vector(标准逻辑向量)型,不使用integer(整型)。如果使用integer,最好在后面加上约束条件,例如:a:integer range 0 to 7;。如果使用了integer而没有对其进行位数的约束,那么在32位的PC机中,综合后将是一个32bit的信号,会造成大量的浪费。 _logic_vector(标准逻辑向量)型,不使用integer(整型)。如果使用integer,最好在后面加上约束条件,例如:a:integer range 0 to 7;。如果使用了integer而没有对其进行位数的约束,那么在32位的PC机中,综合后将是一个32bit的信号,会造成大量的浪费。
9 ^; r/ i7 ]* y1 r6 m$ l3 e" K! q% @" r
7.1.2 不同的状态机描述' B4 ^+ r. T' A7 O
' N; P7 @9 j# O, }% i* y5 K9 v1. 状态机的选型
l9 }( d5 z0 F4 k& D, ~4 V7 k$ c. S- [) R3 Y
按状态机的输出方式分类,有限状态机可分为Mealy型和Moore型。从输出时序上看,状态机的输出是当前状态和所有输入信号的函数,它的输出是在输入变化后立即发生的,不依赖时钟的同步。Moore型状态机输出则仅为当前状态的函数,状态机的输入发生变化还必须与状态机的时钟同步。0 f8 H: D+ ^9 w5 \- s# `* k
* }$ C/ d6 i/ R1 \; ]. z
由于Mealy状态机的输出不与时钟同步,所以当在状态译码比较复杂的时候,很容易在输出端产生大量的毛刺,这种情况是无法避免的。在一些特定的系统中,这些毛刺可能造成不可预料的结果。但是,由于输入变化可能出现在时钟周期内的任何时刻,这就使得Mealy状态机对输入的响应可以比Moore状态机对输入的响应要早一个时钟周期。Moore状态机的输出与时钟同步,可以在一定程度上剔除抖动。从稳定性的角度来讲,建议使用Moore状态机以提高系统的稳定性。" f5 ?' F$ t# _8 l
+ d, C, A6 J6 M7 D! T. [
在实际工程中,具体电路有具体的设计要求,可能适应于Moore或Mealy状态机,也可能两种都可以实现设计,所以设计者必须根据实际情况和经验综合决定采用哪种状态机。3 q. y* E4 `( n9 p" T- o% }
- h+ p! s4 w% c5 l2. 状态编码
5 }, l* |5 p" H/ R# v
2 z: F* N( q3 n# x/ l状态编码,是指定义状态机现态和次态,一般 有3种方式:
6 o2 j% ^+ l9 Q, A7 `0 n; E- v3 H
(1)方式1
& j8 h2 F: s9 v; H7 D3 }+ ?- Z' M$ w$ W; v$ Q% i
signal curren_state:std_logic_vector(1 downto 0)
3 ]) j2 o0 {& l
' ~2 T. f7 Z2 e Bsignal next_state:std_logic_vector(1 downto 0)5 Q& ^2 t2 X* {' K$ ?+ b4 G `
* k: l B8 A: _, P7 e3 K5 d# h8 f
方式1定义的状态有比较多的毛病。首先,这种方式定义的状态为逻辑向量,缺乏具体的状态含义,程序的可读性较差,更重要的是,设计后期调试修改比较麻烦。
# [' F2 _0 T6 o6 d* L! P* }3 L0 m* M# P; P2 L' |% C% g Q' j; a) J' a8 R
2)方式2
, N8 L1 K$ B6 ~6 f, V
; |' B9 L0 U: D8 r( ]- V9 IType mystate is (st0,st1,st2,st3);
$ {& H9 I, t6 K- a$ x2 D! {' N1 L2 p# e/ p9 q7 K( W( h/ g
Signal curren_state,next_state:mystate;4 t1 g: t/ w+ B5 i8 E) M8 ^
& i( ~6 P7 p7 z4 D1 b! y( O) n$ ]采用方式2定义的状态有具体的状态含义,可读 性好,易于调试和修改。使用attribute可以对状态进行手动编码,通过设置用户型编码方式,综合工具很容易根据手动状态编码进行高效的综合。3 Q9 B4 B9 S# W2 M, n( u
5 C t+ A0 E% u(3)方式3
+ F4 A& F5 S6 D0 W0 d' T! `8 F: Y- v- A. G$ `5 L
Signal curren_state,next_state:std_logic_vector(1downto 0);
* L' D* `7 [9 {( S `5 l, O0 F6 ?9 z; b
Constant st0:std_logic_vector(1 downto 0):=”00”;" u1 G& H" L$ T! V% _& o# W
; E! W1 m- `( x8 f O" Z$ GConstant st1:sta_logic_vector(1 donwot 0):=”01”;# o8 w+ M- S$ O$ L, N6 B
2 R# U# h0 s$ j0 f3 X2 g0 ^2 BConstant st2:sta_logic_vector(1 donwot 0):=”10”;- Q( h! T) A1 ^* p+ ~9 }: t
$ R n6 Q, A! I4 `
Constant st3:sta_logic_vector(1 donwot 0):=”11”;
' Q1 k. x% ^: m, Q* D! q
3 a5 v* N6 d1 ]" f3 b方式3比方式1要好,因为方式3的可读性比方式 1要好。但是方式3的修改没有方式2方便。
+ a) T# E$ c% P/ Q' Y6 x; @ M0 C; ]2 T# k. J
状态机的编码在ISE中有6种,其中常用的是顺序编码和一位热码。在ISE中可以通过修改综合约束来指定状态机的编码。方法如下:
, h# U" s/ b/ ^0 f4 [1 R, }' E" F! K* Q2 j2 h
①综合前,在Process for current sources窗口中选中Synthesize,单击鼠标右键,弹出下拉菜单,选中Properties(属性)。
* i0 ~1 ]$ o8 V2 H- |8 ]9 F& p
) H/ C9 S2 s. x6 T' v. |②在弹出的菜单中有3个选项栏,选中HDL options选项栏,如图7.1.6所示。在Properties name(属性名)下的第一项便是FSM Encoding Algorithm(有限状态机编码算法),在Value中打开下拉菜单,可以看到几种编码方式。其中Auto(自动)为ISE的缺省值,在一般情况下,如果目标芯片是FPGA,那么XST会将状态机编码为One-Hot(一位热码)。使用One-Hot(一位热码)的好处是,状态转换快,状态译码简单。5 h- N) f# y% |7 ~, N( {1 s6 I' U
: I4 v; T! S, S+ K$ g采用Sequential(顺序编码)的好处是,所需要的寄存器少,冗余状态相对要少,系统进入其他状态的机会就更少。& v+ j, \! G8 h% G, ^( t
i8 }/ x/ r# L7 o
还有一个比较常用的编码方式,User(用户)编码方式。在前面讲过,设计者是可以手动编码的,只要加上Attribute语句便可,这里给出一个例子:
7 \* g! F/ h8 L. }% {/ \" e, K) m
需要提醒大家注意的是,HDL Options中的设置的优先级要高于用户的attribute属性。也就是说,如果使用了attribute语句进行了手动编码,但是在FSM Encoding Algorithm中选择的是Gray(格雷)码,那么实际综合的效果是Gray(格雷)编码。
# R7 q5 G% ?, x" L; v. J. U. z% B& M) j& \8 ]" g, J- K) p( {& O
例子:
. E" e- p0 K$ `( x1 {4 X
+ Y& S" K: [4 a9 ~& Gtype STATE_TYPE is (S1, S2, S3, S4);( }0 p4 k! O9 H5 D3 b
7 @; j4 w/ \0 J- n( ?+ K
attribute ENUM_ENCODING: STRING;
6 \5 h$ \1 N/ T9 T+ x- j0 @ Q E, G" R6 { P, X. l+ @* Z( G7 d( _
attribute ENUM_ENCODING of STATE_TYPE: type is "1110 1101 1011 0111";
3 B8 L0 C% T1 _% ]# `7 t5 k7 T6 l, K \4 E% B: A. N* \% l
上面的四个状态S1,S2,S3,S4其实也是一位热码,是用‘0’作为热码。选择User编码,那么综合后的实际状态机编码就是设计者在attribute中描述的状态码字。1 Z& O) T: H6 f0 c
9 }5 R6 `% ]0 I: ^3. 多进程状态机
) R) C; W' c: J: b& T8 k( [% P' |
3 ~' v& d- o6 U8 I) u# m. d在状态机的描述中,经典的应该属于3进程状态机,基本结构可以由下面的模型描述:# Z1 P3 j) g) @# z
" }# N5 x2 `6 S3 X/ |SYNC_PROC: process (CLOCK, RESET) --同步进程0 r! H# l; C) k9 z4 n3 ]- M& O
" x9 Q& Q1 {3 U
begin/ S& y# _: N1 v0 P$ P: I( x3 K9 u* i
2 i: u) _, Q! [ `2 J6 ]5 U
if (RESET='1') then --现态 <= 初始状态;2 L& h$ J. Y8 v* B3 o3 ]
6 {7 v( b& O3 g" L) E6 J& }- Yelsif (CLOCK'event and CLOCK = '1') then) E; ^4 g9 W3 I$ {- E7 t o7 V
* n. H) Y, r5 a1 e. v8 c--现态 <= 次态;
9 ?7 j- C& k4 i1 k: b U# w- {, @, b* H# ]" u
end if;; I# |/ w4 d7 M3 F6 k
+ }. M9 n) L+ f! @/ W
end process;0 {1 k- l& t- j) n7 \4 c+ Z
& B2 u/ Q# ]0 T/ v, \
COMB_PROC1: process (--现态, 输入信号) –状态转化进程
6 Q0 g1 o* R6 J/ b$ C# Y: z& j2 {5 }1 n& | a3 \- _
begin0 }' \) Q; v* K' D8 V3 t
& D# I0 A' q* v2 a7 Ncase 现态 is
; G% ^3 R* r. l. ~1 G& O- q7 ~9 B8 g. ?' }( O- M
when初始状态=>8 p+ n- u& A( A0 q/ `% u
- i" a0 ~1 z x; z" V7 {5 x
if (--转换条件) then* {3 W! g( }9 l5 H( M. ?+ J
, s& R$ Q% X% Y7 d8 q
--次态赋值/ }, ?: \% b. z1 ?4 ^
' g% b4 l6 \0 P& ~' ]/ Pend if;! |' v0 e# y8 H
! C& P" H3 E. O; T+ a# G--其他所有状态转换的描述5 q0 ]% G% Z: T+ s4 A- W
: V" x" i+ F5 L* gend case;
+ Y* l; d7 X1 ?& p k! V0 J# Y, B6 c2 I* o9 g1 a6 p
end process;0 Y1 C( A8 |# }/ e4 \4 Z+ m$ Q
- w3 l) U' E0 B: W- Q2 G1 YCOMB_PROC2:process(现态, 输入端) --输出描述进程1 @0 C% t3 i. U. A1 O
0 H) A, B/ w0 R8 n _& j, \5 C' VBegin
, i# ~9 i: ]1 r, A+ C& ~3 }
# D: s7 W+ l9 m* i0 yCase 现态
% m3 d$ b A& `) V2 v) u6 P* S9 f) w# `% m$ g
When初始状态=>" E6 O, ^. X: r0 ^- G1 w) q
. z" V0 ]/ A0 g; ^. A
if (输入端的变化) then$ m* X! T3 v6 Y! I; n4 b
7 G; B7 S$ u# q# r( f
--输出赋值$ [: m+ c( U! ^! }8 q
4 S, r M* T, D6 _
--其他所有状态下输出的描述
1 z* |/ Y( x* B* T' u
% M" U% T9 s, }0 bend case. b9 Y5 f4 h0 K1 Q1 d
. X* q" ]8 m( c0 T$ E/ n
end process;9 u" L/ N. j% _4 V0 c! `
: }% x" f, Y5 F+ G' ~1 J* W9 T# r8 q
或者:
( L4 W6 e) R; U) i* i f; ]5 H+ P- E+ l1 \/ t
COMB_PROC2:process(现态, 输入端) --输出描述进程4 d0 T, S- W z7 y
; q5 w8 v) l+ N% G
Begin
q9 a E6 j+ ?7 X
, U" j% d: W1 \* BCase 现态8 {3 I# q, F+ V' S- o" N
: W6 l0 d% j f" D
When初始状态=> --输出赋值, { }: q$ m, J/ _
& A$ U7 w2 z7 h; C9 ~! R7 P
--其他所有状态下输出的描述
% @/ D4 E5 h5 x- D( K+ O8 C
" _" V- p% `: z, { ]end case
- H. H r8 D- I8 p( Y3 J3 @* [. v% a
end process;
) V& O0 {/ L Q# `5 K/ I
3 f( v6 P9 }. o! j" e0 Q) @1 ^以上模型描述的3进程状态机中的各个进程分工相当明确。SYNC_PROC进程完成状态的同步描述和状态机的初始化,COMB_PROC1进程完成对状态转换的描述,COMB_PROC2完成对输出的描述。3个进程各负责一个工作互不扰,第一个进程的电路是时序逻辑,第二,三进程的电路是组合逻辑。COMB_PROC2进程中可能出现两种描述。第一种描述,输出端是现态和输入的函数,是Mealy状态机。第二种描述,输出端是仅为现态的函数,是Moore状态机。- f# w. b. {8 K3 D Q$ U) V8 w9 T
w1 m) [% m9 b' [7 E' X9 C
当然,COMB_PROC1 ,COMB_PROC2两个进程完全可以写到一个进程中。那么状态机的进程就分为时序进程和组合进程。
! \6 A- E, h7 |6 _) \8 A5 V4 o
6 W& L* i$ c$ A8 h |. X4. 单进程状态机, ?8 {( a( \' m }/ F; u
1 I/ j( v Y! Q: q; g
在实际的设计中,在输入端引入噪声是难免。如果使用的是Mealy状态机,噪声很容易传到输出端口,在输出端口出现毛刺,如果这个状态机的输出要被用于作为其他模块的同步信号的话,系统设计很有可能会失败。那么在这种情况下,最好采用Moore状态机。但是,在3进程状态机中,输出信号是由状态译码得来的,输出进程描述的电路是组合逻辑,组合逻辑没有抑制毛刺的能力。所以3进程的Moore状态机只是相对3进程的Mealy状态机有一定的抗干扰能力。
/ Q, a2 v7 v/ I* ^1 e
, d9 b/ @+ U' o, W为了使系统更加稳定,可以在输出组合逻辑后面在加一级寄存器,采用时钟边沿触发,这样,可以在很大程度上剔除毛刺,抑制噪声的干扰。这样采用单进程的状态机也可以达到设计目的。
) w. E& s+ g w
( T; J! p) Q. x: E# g! b(1)单进程状态机的基本结构模型
`. X w" }8 B6 @* ?
3 A, y& @$ j# s' M' J单进程状态机的基本结构可以由下面的模型描述:
1 ?9 w/ F) z# K- \5 K4 W( z( X# T2 \+ Y. g4 W
SYNC_PROC: process (CLOCK, RESET) --同步进程3 g; a0 ~5 e0 w7 Z' q
' r$ W+ e) e" h4 U# g! ^0 Y1 l4 l
begin
, }% P& | Y6 {2 s9 X8 ?( H) I
* g( C5 x# {8 M( ]1 ~) qif (RESET='1') then
& W% `/ ^- Z' d1 h5 |( O
4 ?2 i( q1 f7 g" m' w--现态 <= 初始状态;
) [8 C( x, `3 a$ l
$ A% |, H; Z8 U% [9 melsif (CLOCK'event and CLOCK = '1') then% [: u# R4 E4 b5 G- r+ `
( P7 U& U$ z p) O--现态 <=现态;
$ m& i3 h% d! z9 }$ C; |' F5 T3 i5 j$ I; \! y
case 现态 is+ O3 G$ b0 a; y' p; |% {3 U1 ~' o& X
2 L, A' X) f# p% i$ J$ |+ _9 xwhen初始状态=> if (--转换条件) then
/ ^* h$ s9 e4 l6 s* W) o& a2 l
0 Y: \8 M4 J) g( B7 J/ h--现态赋值
& u! E1 \$ K% \" S" a& O6 P* v/ s; @! T
end if;* I* r9 P4 J6 Z% ]$ {4 d
) r% P7 |& j( F6 \' _
if (--转换条件) then
" \, T: J) ]* A* `3 \
1 r4 K" U$ z/ L# L--输出赋值
% ~8 h0 s5 B" N+ [1 }, i( ]4 l0 ^3 y* f3 ]' c
end if;% c; [$ J3 V, F4 b* e% s5 w& @
$ L8 d5 i5 P+ p, E: r% n
--其他所有状态转换的描述
3 i* j, ]: D: `" B& @. k
: O, z; \" s- s4 h' r--其他所有状态下输出的描述) E4 j# Y$ D v3 D% k2 |; d
, V6 W4 b/ o, F
end if;
/ m( s' k4 @" T' Z6 }
F+ ?4 s( u4 x8 S4 Wend process;
' `1 P* U4 M% i$ B! h" b& w8 B k2 W- b
可以看出,由于状态机的所有工作都是在时钟上升沿触发下完成的,所以,输出组合逻辑的后面必定产生了一组寄存器,从而抑制了毛刺的产生。$ Q: n2 Z! ?+ J2 }( P$ C0 y0 Z
- g6 C1 ~( q. N5 o d
(2)状态机的比较1 B9 _; |" n! J" ~& ^
6 l- _# O K/ I
下面以一个简单的状态机为例,验证上面的分析。其中EXAM1是2个进程的状态机,分为时序进程和组合进程;EXAM2是单进程状态机。这里不对状态机的工作做介绍,仅仅表现是两种方法描述同一个功能的状态机的差异。通过ISE5.2的RTL视图工具可以方便的看到综合后的实际结果,在RTL视图前对两种描述综合出的电路图做比较可以轻易地看出它们的不同。图7.1.7和图7.1.8分别为EXAM1和EXAM2的综合结果。
9 v% S4 f2 {0 K0 ]3 E4 M* U
( ?" A2 ^* ^6 U% Z2 }8 c; K0 Q①2个进程的状态机设计例
4 z- Q+ p9 O* @, X* L0 y0 {8 p' t' z' u7 l; R, |
EXAM1:-- EXAM1是2个进程的状态机,分为时序进程和组合进程。/ t" X$ f, S+ d% e* f6 F4 z( Z
5 ^. k; o% }+ n# G( u
entity statemachine2 is- V! Q. L7 W/ [; x, P# m
% y% J7 n) |; \5 e) gPort ( in1 : in std_logic;7 c$ p1 \6 x! u3 N6 ]) L
& e7 @5 y; H, A2 h5 |8 H
in2 : in std_logic;
' Q) x! G1 t, W1 ~& K& |
5 U% e# C3 s* Kreset : in std_logic;* V7 {+ N1 D3 B: W1 K2 I& q/ S
0 j3 }' R- r* I. a' cclk : in std_logic;
3 U o, X3 G5 U* M* j9 l! D2 E
2 v+ Z3 Y! t1 B7 ^out1 : out std_logic;# }9 f5 K- g3 {& f7 w2 r9 c) r2 U
2 @; J6 u1 k) C& S9 |# m+ k' Aout2 : out std_logic);5 Q6 p" t; \8 x& H& Q
1 v4 h% M& l2 F% k% cend statemachine2;& Q* U3 a: U) G0 O0 @. @3 h
) P! W4 [/ g. y" n! V8 X! {. w/ Qarchitecture Behavioral of statemachine2 is& |# m2 l. J5 c$ _7 g* e' w2 L
- z$ y: x8 P; O4 {8 q$ G
Type mystate is (st0,st1,st2,st3);5 w; N" D8 q2 M0 i- `5 G/ Z
5 S; F9 J$ _5 X: Q( F, Q
Signal current_state,next_state:mystate;
' |: E- |) @: f6 o" v# x. U5 f. u
& R) B9 ^6 a1 n5 a+ qbegin
0 |( x' o+ u, G9 E0 T; q. @
. X+ U% _+ X2 u2 ?process (clk, RESET)
3 x/ \+ T$ Y9 B) _4 k* K4 F
1 g" m3 T5 J$ K' Y; Y* u, Mbegin; _; T- G* N3 j8 ~
% S* _" ?# |6 @! B7 f
if (RESET='1') then/ {$ b3 ~- V: ]6 t
+ ~# s1 w6 q2 k3 ]" Q6 Ucurrent_state <= st0;% r9 ?8 l3 j8 l6 W' g( r' D0 m
; g( J3 h: r7 u* B; ~
elsif rising_edge(clk) then" N3 q+ v) F+ b, d) K k$ u
2 ~3 W1 ^, ]. Q$ }/ l. \- ~current_state <= next_state;# g$ E7 D: w( l% c5 ]5 d5 |% S- j
* `+ N0 l" I, u) k+ a' l
end if;
1 L, L( ]* F! }, i, `9 X' G7 l: B4 ~ v) V `) y
end process;
4 p/ n2 E: m- V" q" j, L) J, D* R" `% j' \5 Q! d
process(in1,in2,current_state)
- w( h3 Z+ f0 Q( D L+ c% o3 j! K1 {0 N& q; j/ k$ u8 h: e$ d
begin
6 y7 S% T8 `& o9 ~
1 b' H* l) S2 V# L( B/ v( M gcase current_state is
2 ?' s' r7 q: n5 `! G$ J7 I0 {
( i0 p% c* j* S2 N( U; k8 c: {# nwhen st0=> if in1='1' then
* t1 C4 h% x& |, r- d6 Y
6 d# t0 _* \- ~next_state <= st1;
2 R) S3 }; t% }$ Z6 L! _# M
3 D4 w2 a& S" ~- hend if;8 P. ~# Y. v4 N3 j
/ c+ i7 V9 b2 l# c/ L+ U5 Vout1<='0';( ^$ R6 \5 }* T2 Y; A
; J' p/ |" ~3 ~/ C( ~) c6 J# W' W
out2<='0';
: a* x9 C+ h$ _6 K4 h: n6 o) T1 \8 Z# F
when st3=> if in1='0'and in2='0' then
) Y6 ~9 j2 ~) f' k% A1 Q1 @1 U7 @7 R$ t' u. C
next_state <= st0;
3 E/ A ]0 n. H& d- {' \ ?2 Q; z& @$ }# W" r0 w
end if;& ^( I0 \: N% \6 s* w
- ?2 S: d. g9 H2 Z! ]& u
out1<='1';
. C0 X2 M- F9 p$ d0 e; N% G n0 P/ A5 D/ z3 _6 l* G! }- g4 y. I
out2<='1';8 Y- f, k0 x% X
0 F) I$ E) ~5 z" Q7 n+ U/ {when others => next_state <= st0;7 K* d4 a6 ?& b9 W8 y1 R
' L- L Z2 J8 C3 K/ f: x+ g2 H
end case;
' K- q% }6 H2 o2 L V5 H* M; r( R( h2 \" D6 N4 N
end process;
; ~0 T3 B! @, i9 z) @5 O' o7 H
" L; I0 x O6 ]3 W! c" D$ jend Behavioral;5 ?8 R6 q/ }) N/ U, b
$ M( W# n! o6 d- f$ R
②单进程状态机设计例
; n5 r9 N) ]1 D$ X6 z. W+ {( N% J& W1 V4 ~, O. i! F
EXAM2;-- EXAM2是单进程状态机
8 Z! g. | Q8 h' g- {: m5 h; W; K; N& s9 U) G
entity statemachine is4 f/ O# b9 l* t5 C) s4 Z
% \. L5 u; P* W, L. \Port ( in1 : in std_logic;
! U, Q$ T& f5 D# U7 ?
, h: d# H1 {5 G1 ~! M! o3 i! fin2 : in std_logic; o6 ]- ]! q% r& H @$ r7 ]8 D
$ B- b7 ` O+ N3 ? Q
reset : in std_logic;
; L, |: m4 Z; p) ~0 Q( X8 j. d
clk : in std_logic;
1 W$ r3 u. {8 r. F6 o9 ~3 I+ Z8 W4 o( X0 p
out1 : out std_logic;
% `4 o$ d* J* y0 T. U1 O4 F7 Z7 v7 R, k8 X( o5 h9 ?; t0 a7 j
out2 : out std_logic);
+ x) Z8 R5 s, T" B) r# N, W1 Y% t! p! {* G9 U" v6 H1 Z
end statemachine;
! u- F6 y6 s( F! i" e! u7 D( {* V0 ], a/ ^
architecture Behavioral of statemachine is) B& w( L1 s9 B" \
6 E) E/ l2 u, |- Q+ E
Type mystate is (st0,st1,st2,st3);
: v( D; ^; ~; H5 _/ L) W) V1 K) u S" q) A' N
Signal current_state:mystate;
) Y7 ^1 c( T9 I4 b4 |- {
& x+ ^. N6 r* C4 y: mbegin
2 C7 S* U0 z6 r* R. R5 K4 x% u. b# \* |0 y V* u' P. a
process (clk, RESET,in1,in2)
9 k3 Z( y4 ^8 R; q% q2 m5 ?" W
; G/ f& x& u8 I$ J, C9 O4 j cbegin$ u3 j) m$ x6 G: G( l! e
' L% o2 Z" r& Z
if (RESET='1') then
2 K X7 y' Y0 S: R* r3 N6 }7 u. `8 F; o) T9 C
current_state <= st0;
3 w0 a, _) T3 I6 P |0 K
% q' Z( }8 b/ [: z( r- delsif rising_edge(clk) then: Q3 P8 x! h& z3 n+ q0 z+ T
. g- K% M' \7 I7 G2 F6 u5 w
current_state <= current_state;, K1 W$ b( w: v8 M, V* F) j+ ]( W4 l4 h
: U/ W6 ~/ ~" P5 A0 o
case current_state is
Y) M4 ?* `/ g; p* p$ P) l8 M. x* H
when st0=> if in1='1' then" [3 z$ Q: c t* S
& s- J- n7 |: I6 E4 y j& m! z
current_state <= st1;3 J' E" Z4 S1 o! v4 }* v) M# y
: o3 F+ K8 a6 s9 oend if;
4 [: q, p/ K2 e- Z# F+ y
[2 j" b( D% Q; F* A1 \out1<='0';
( U, G) x& C8 J- i0 Y6 d
$ g8 E3 f7 Q6 _! I9 p; gout2<='0';
; C, w" s' K7 A; o* w4 e- _7 j8 x- x j4 |
when st1=> if in2='1' then8 l8 r: n9 N+ n; d5 V' L
, }8 y7 R3 {0 D' E0 P0 F3 R
current_state <= st2;" ]% a) N+ r1 w8 z$ J
4 o4 }" p2 ?& f9 [' e! n
end if;" m Q: i9 d9 B, L! g& V
( m+ v' E9 Y' P$ I/ B O
out1<='1';- N/ ?% N9 l4 ^, k/ m: O
1 v# X. o; V! vout2<='0';
7 p& l( \ \% H# G) S: T
: g) [; b! e* F+ c/ Vwhen st2=> if in1='0'and in2='1' then
1 f# _$ t1 r! n, i7 u. j
: C8 T1 }% U. C; Acurrent_state <= st3;4 i0 U& B0 h f/ u4 d
4 n4 @; {5 W6 a5 w0 Cend if;
8 M0 G4 p( I3 b8 S H- i' [8 t8 K5 ~& l8 w5 F6 p
out1<='0';
6 c% L8 x4 d. s3 ~" n9 }5 Q
# j' |0 @! M, g2 lout2<='1';/ U4 j1 x0 y! S5 l3 J4 [, a8 p
1 ]* C! ~) ]5 F% V; Z4 @
when st3=> if in1='0'and in2='0' then
/ {' @) _6 T2 R$ p1 K& F, A" _5 j0 i
current_state <= st0; k8 F3 X! b9 X" ?4 V# @
) I4 k0 @% m9 C3 r) _- Q6 z
end if;$ t- F& E5 P0 `7 N/ J0 ]
2 S: W4 n- `! l4 K Z$ N# E6 Gout1<='1';
6 R5 `5 ?: V+ _
- _9 \5 c$ c9 E* j) fout2<='1';! X5 }1 v5 v) D7 I
. \# t8 @4 J! M8 E
when others => current_state <= st0;9 E2 b% S5 q( o) x, e
8 Q, c, {1 B1 ?. W( Y6 Xend case;
- V! @, f/ ?$ s ~7 q( @0 ?2 k$ T9 l& v/ q8 Y7 Z. o; |
end if;
3 X3 ~4 _) {& g
8 d% m; J& _; T& F; y4 fend process;+ b2 [+ Z0 Y7 E B# O: e
+ B7 q4 n; f& a
end Behavioral;
$ I8 T, n- N0 ^) T% V6 H5 U3 K! R7 Z5 G
③比较3 @ d# h2 g: f
5 q4 ]: e; U8 K8 O2个进程的状态机和单进程状态机的电路不同,单进程状态机的输出端的后面采用了一组FDE(带使能的DFF)。采用单进程的状态机使用的资源要比2进程的状态机的要多。这也可以从综合报告中得到验证。' G( @( E7 G' q9 P9 \! K
( F1 _2 e" L) |) A) U0 [( m
a. 单进程状态机:/ M# K9 u" I6 n
: @5 {* B0 u6 D, a/ j5 |$ r
device utilization summary:( D& I! |" r1 r
) E( t3 T2 P6 h1 w* F
---------------------------
: W; a( T& f" O8 n" Z) B! o* e2 i$ y& S9 A
Selected Device : 2s100epq208-66 b S0 Y% j2 u# E+ o( u
' k9 R. c, y. XNumber of Slices: 4 out of 1200 0%
3 P$ o- k4 r9 z' h0 n) r6 x2 r% d' a E5 {& }9 d& S
Number of Slice Flip Flops: 6 out of 2400 0%
( K' Q m: n4 x6 G3 V" J7 a$ T9 Q: b" ?* C/ U9 t+ S: Z% ?% ?0 a- K
Number of 4 input LUTs: 7 out of 2400 0%8 u l0 S/ F7 X. w% b
2 T4 E3 H9 ?1 b* U# a7 r
Number of bonded IOBs: 5 out of 146 3%
9 c) l- H' w) V
# L. I2 U# d( W, J( |Number of GCLKs: 1 out of 4 25%
/ S7 ^/ V5 w* B7 z8 w5 e0 z3 P- w. N3 {: H [
b. 2进程状态机:
- d3 e c! w8 Q" L
2 |: \+ |( u2 i" G, S9 ?9 oDevice utilization summary:
& S( n0 @# `! h7 z
. N/ N8 m* n$ [0 j2 [/ P+ c---------------------------4 p% u& L p9 a$ j6 J5 H
8 q1 q: g3 w- u" b" K$ w6 hSelected Device : 2s100epq208-6
9 X5 e4 V& O; W) i% y" m
' J$ j$ j! M: G2 R& CNumber of Slices: 3 out of 1200 0%( Z# j" M6 J- p* n4 t% g2 F
; \; K5 ~! a! o( T5 L
Number of Slice Flip Flops: 4 out of 2400 0%
6 {2 P6 v" e( \4 s( u2 |% f6 G% H( C2 a; Y9 o; O5 k( Y
Number of 4 input LUTs: 6 out of 2400 0%6 T; t4 \6 U j+ M5 Q9 F6 U) G
+ Y3 E* L% w/ e* s
Number of bonded IOBs: 5 out of 146 3%
# a5 n! ]9 W1 F0 r" ~1 K- U# ^5 y# m; q, ?" y9 M. T- B
Number of GCLKs: 1 out of 4 25%
, F# C; S2 q0 R% m
( ]3 R/ S L l |
|