|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
, j) m9 r. F# F HVerilog代码可移植性设计
- `2 W( t, K, {! p8 z1. 参数定义
7 z8 e9 K4 b5 X1 ]localparam,实例代码如下:
, A- J) r U0 G' o5 qmodule tm1(9 S7 q$ H# n3 ^' l* W/ t- C
clk,rst_n,$ a: j. l8 x! }& Y
pout; X4 U: T2 k3 Z4 \2 |1 y
);: ]6 U* K6 g5 V7 e1 _4 W! Y
input clk;
7 m8 _% s9 S8 Z5 M7 T, W9 ]input rst_n;2 _# I$ x$ x7 z" W7 o, l0 v+ t
output[M:0] pout; & M# T1 ?. F- m/ o
# p3 L) V1 E- o3 \) Z0 `
localparam N = 4;, O+ ^- L; \) B) F. i+ d
localparam M = N-1;1 Y5 ]* ~, k, w) G1 W+ u1 r
# J. ^4 D" U. H. A/ U
reg[M:0] cnt; * V" f+ @. F+ F9 N
; H6 h4 B0 t3 {4 x" Oalways @(posedge clk or negedge rst_n). b$ i+ i3 _( H5 F }
if(!rst_n) cnt <= 0;
! Z( |- ]" P4 |( P# w G/ K2 b0 V else cnt <= cnt+1'b1;
4 s& P7 \) o! Y + p3 h: [0 S0 G, i! U( u" ~2 h0 R
assign pout = cnt;
3 X5 a. `* ^! K; s; u$ T# T
0 Q( k% I" p) t* O1 [( Fendmodule" Y# ^5 `: S! s1 T' P. E* L
其实所谓localparam即local parameter(本地参数定义)。简单的说,通常我们习惯用parameter在任何一个源代码文件中进行参数定义,如果不在例化当前代码模块的上层代码中更改这个参数值,那么这个parameter可以用localparam代替。而localparam定义的参数是可以如parameter在上层文件中被更改的。具体的区别待parameter的用法实例后大家就能明白。1 r' R$ v, Q% w ]9 `* V
parameter,实例代码如下:
8 h6 H% H' h, d+ tmodule tm1. I: y) q1 ~; X4 {% H `
#(parameter N = 4)# @& \. Y" j8 t- _* P6 ?8 {
(5 K: I3 o* x( p7 v# m! t) J- Y
clk,rst_n,
+ j9 |5 p& }: W- B pout
; d, I+ Q' \0 T" L, w! R );
! X: X- Q" L2 tinput clk; //外部输入25MHz时钟
* B& a* K; Y# V+ o) L7 Winput rst_n; //外部输入复位信号,低电平有效" B$ \" e) J/ p$ _% X1 G/ ?
3 k% J" E/ ?+ P& G/ j9 u' M2 Joutput[M:0] pout;
9 a, E: f D: o' T9 a3 A, Y
3 ~8 M1 W/ y Elocalparam M = N-1;
' n2 p8 u2 x, v. t$ z 5 f v# N1 ^/ R& D3 H3 M
reg[M:0] cnt; % ?/ N. @+ t* y4 B o" ~
: O( P8 |4 Q9 v' l8 Talways @(posedge clk or negedge rst_n)
$ E& i; P- R* t2 D7 a if(!rst_n) cnt <= 0;8 J3 }& R {( a. c# n3 C" `
else cnt <= cnt+1'b1;
1 l! P z9 Y# h: H* S( m1 a ; z2 o$ e" P( _4 j+ d
assign pout = cnt;0 M q8 L" _: g4 M3 L& _
; b7 i# ?4 E% [! u9 ]8 J
endmodule
( F. d7 g2 ?! |8 h/ i1 A tm1.v的上层模块中,可以用lvDSPrj.v模块中的方式对其已经定义的parameter参数进行重新定义,而相应的localparam定义是不可以在lvdsprj.v模块中进行重新设定的。Lvdsprj.v模块的代码如下:
6 B, T% I$ l3 n0 h# Z; Fmodule lvdsprj(
, ~+ _0 Z }5 e7 e clk,rst_n,% A! i" J1 Q+ C1 R7 S. @
pout! Q: V% t! l) e- O$ C" A$ E
);
( f8 C. I: a9 f! _; ~0 tinput clk;
* q# b( f3 k j; Uinput rst_n;
* n! Q$ ~7 O7 |; C l
& ]' A* n# h p1 L! routput[M:0] pout;
6 `, m, {* ?( N8 R
( C5 a( v) T$ T5 l1 Vlocalparam N = 5;
0 F2 [; } N) g* w/ m) Nlocalparam M = N-1;! Y6 W8 `" \) C7 ]# G* \
) J# y1 z- t" K K7 ~1 U X; n# l
tm1 #(.N(5))3 w8 a$ Z$ w# j# D! g
uut1(: Y0 X5 [/ u# _
.clk(clk),: K; `/ \$ g2 J) T3 ^- n' z( {" U: k
.rst_n(rst_n),
2 \ {6 _6 p. v y1 S .pout(pout)/ i5 D9 J; ~- Q% o1 m4 [
);
: m- u: q$ x) V, _% g - ?2 P+ m3 L6 ^+ i( r* t$ e
endmodule
% `- v3 u1 }, o7 T0 B( {% N 在verilog设计中,我们习惯将状态机的状态量用parameter来申明定义,它的适用范围通常是某个代码模块,或者其相关的上一层模块可对其进行重新申明定义。而如果工程中有多个模块里要用到同样的
" R+ H% P8 n P+ t/ W- B. }+ c
1 X+ }. e% K# ~" B, X2. 宏定义! y$ G% {8 R7 R0 {& H
从定义方式上看,verilog语法中的宏定义和C还是略有区别,如verilog中的宏定义如下:
1 V" M3 O& r( h. {7 @% S6 m/ Q5 P( ``define M 5
/ z$ T9 t0 ^- J, a+ U 在使用该宏定义值时,通常M应该表示为`M。之所以不是很提倡滥用宏定义,是因为它不像parameter那么“中规中矩”的作用有某几个特定的源代码文件中。一旦`define被编译,其在整个编译过程中都有效,只有当遇到`undef命令才能使之失效。也即它通常会影响工程的其他模块,尤其当多个同样宏名定义时,如果不注意有可能照成定义的混乱。
; s! J- H1 @# f( B. `/ W
) k+ k" ]2 b7 _+ w& I3. 条件编译6 k8 }! A7 d7 y7 U0 o5 f
`ifdef、`else 和`endif,这些编译指令用于条件编译,如下所示:
& d9 j7 q6 A4 I, i2 Y# u`ifdef windows
$ u6 N _3 V' g0 Uparameter SIZE = 16
; s8 h) p" U9 W+ |% n`else' P1 _ w. ]0 p4 Z( n$ J
parameter SIZE = 32
5 P `7 H$ w, J; y8 f% J" t`endif9 ~( u" A( S2 k
在编译过程中,如果已定义了名字为windows的文本宏,就选择第一种参数声明,否则选择第二种参数说明。` else程序指令对于`ifdef 指令是可选的。+ q E. ?1 E/ G5 F& j
条件编译其实是很有用的,尤其在代码移植过程中。在工程中,如果我们编写某段代码逻辑(可能不止一段),而在实际应用中并不需要(或者只是作为调试使用,或者可能在别的工程中使用),通常的做法可能是将该部分逻辑进行注释。而当再次希望使用这部分代码的时候,一个常见的问题出现了,取消注释的时候往往可能不记得哪些逻辑是和这个功能块相关并被注释了。因此,这个时候条件编译就派上用场,可以省去我们很多的郁闷时间。特权同学过去对这个命令很不感冒,通常只是感觉很多有用的没用的代码在那里显得很紊乱,殊不知其实某些情况下它还是很“给力”的。
2 R' F; k# e! n I: j9 F 4 I6 N9 [4 y% M- D5 J
以上提到的三种常见参数定义和编译指令,在一个好的工程中应该是频频出现。毕竟用好了它们对于代码的重用(移植)和升级是非常有帮助的。特权同学在工作中常常需要重用以前的设计模块,也常常需要将工程移植到新的器件或类似的应用中。遇到过不少恼人的问题,也许只是简单的几个小疏忽,却常常花费数日在纠错。究其根本原因,都是因为代码的原型设计不够规范,代码的可重用性考虑欠缺。总结过去遇到的一些常见问题,简单的归纳几点心得:6 w) {4 }1 z# r* E+ \3 U/ n
① 工程中一些通用常量的定义多用parameter或`define,便于更改。- d0 w0 }# G. W% u9 E. t
② 部分暂时不需要的功能块用`ifdef来“注释”。" |4 g1 e# I; [* y, T
③ 模块的进出信号接口尽量标准化(可以是比较“官方”的标准化,当然也可以是自定义的“草根”标准化),利于将来的复用。: n l. b" X2 C
④ 注释要清晰明了,不说废话,即便在一个代码源文件里,也尽量将各个不同的功能块代码“隔离”。: l2 {* ] ?; b# ? b: `5 p
⑤ 配套文档和说明必不可少。& |, D8 R0 S8 b) l9 ^* C8 u3 ], L, c
⑥ 信号命名尽量“中性”化。比如某模块的时钟输入是25MHz,那么可以取个中性的信号名clk,而不需要取clk_25m,但必须在注释中标明频率。这样做的好处是将来移植到时钟输入为50MHz或是其他频率的应用中,不必再费劲的改clk_25m为clk_50m了。 |
评分
-
查看全部评分
|