|
本帖最后由 chenqinte 于 2009-5-5 14:34 编辑
( k7 ~; {2 R E. g! x
7 ^6 n F* q( l. ^3 c没人顶哦,这是我写的一个小程序不过仿真的结果可以实现3分频,但在板子上跑时,分频乱七八糟的,不知道为什么6 \5 K1 t, @' o6 `" H
library ieee;& F% ~7 j" ^' [+ {! e! f
use ieee.std_logic_1164.all;5 d% p- Y- R3 |8 s X( L
use ieee.std_logic_arith.all;2 |7 p7 i4 K0 i1 f
use ieee.std_logic_unsigned.all;" j( U K0 F" _! y* N! \) I
entity febpin is; ]5 v4 g' P+ P. r5 K) |5 L. {# M
port(" t# J* p# F2 Q1 R! o# @6 ]
clk:in std_logic;% c8 n) y- O2 K: n0 v, p
div3:inout std_logic
3 E" @, Y, l7 w; X+ x S );4 h. W( k B" B; n
end;' R2 _6 P0 j4 Z7 d- N% @9 ~" {
architecture behavioral of febpin is. X7 r1 J7 d A2 G& K2 u7 V% \
signal cnt:integer range 0 to 2;
3 Z/ s# r# P4 @ }signal q:std_logic:='0';
, i: c$ a# M3 R" q. Bbegin
( T, I( r' R) q+ F& D process(clk)
/ D9 ^8 {' P2 ] begin* p5 `1 T! n2 [6 I: ?) P
if (clk'event) then
: g% x2 [3 [8 S; c( s; P5 `% ` if cnt=2 then' {: U! c5 {, H; Y% F' G
q<=not q;
3 d3 j7 w/ q8 d8 l3 c cnt<=0;6 X7 A# n. v4 t5 m. d# r; X
else ; N2 k3 V4 L1 S( {1 \/ N
cnt<=cnt+1;
8 Q8 N3 p$ n! F3 S/ ~/ Q% h end if; K k- \7 \8 B& s r
end if;
O. ?8 m4 p# k7 O" @- E end process;; @4 c" I+ ~2 m; x/ o
div3<=q;
7 A5 p4 J$ n- h3 q4 I0 Z vend behavioral; |
|