找回密码
 注册
关于网站域名变更的通知
查看: 281|回复: 1
打印 上一主题 下一主题

转——modelsim独立仿真教程 

[复制链接]
  • TA的每日心情
    开心
    2019-11-20 15:00
  • 签到天数: 2 天

    [LV.1]初来乍到

    跳转到指定楼层
    1#
    发表于 2019-3-26 07:30 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

    EDA365欢迎您登录!

    您需要 登录 才可以下载或查看,没有帐号?注册

    x
    转——modelsim独立仿真教程
    ) z: }* D% o0 ~0 O1 }5 l

    9 n8 {4 [! c8 m. D. ?$ i
    $ ]' Y2 n3 o9 L7 d9 h

    ; T2 s* F* `- t( c% e
    一.GUI界面的仿真步骤

    ' J+ v& h5 N/ D0 p3 o$ R0 y1.首先把你需要仿真的设计文本和仿真文本全部放在同一个文件夹下面,注意,文件夹路径不能包含中文。$ G" v6 @% N. P

    * b5 ^4 J2 G; h& l( w我的设计文件:counter.v
    6 R, G: ~. `4 E1 c`timescale 1ns / 1ns
    , f+ U" _4 w. H3 l; o1 cmodule counter (count, clk, reset);+ F5 i0 o' u+ L( |! m
    output [7:0] count;
    ; C6 W3 L2 f' L+ Z* y" I; Qinput clk, reset;1 R2 Q6 `# k& N) n% V

    ( }9 @3 y; C  h8 z' X: S' s; Wreg [7:0] count;/ d$ f: |1 ?! h% B2 m  r; X, V
    parameter tpd_reset_to_count = 3;9 _6 a9 \: Y5 }) m1 n) j6 t5 o
    parameter tpd_clk_to_count   = 2;2 Y# p7 ?2 r; t6 r

    9 v; }" c7 ]  Q" [8 E* M6 n! ~$ Ifunction [7:0] increment;
    : @2 w# c# u) n6 r2 j3 hinput [7:0] val;
    ! \+ ]. `1 ]- h7 J4 X4 P+ sreg [3:0] i;
    ' T. ?9 O: {* P" lreg carry;4 B- S+ p' @; z' y& w9 J; b
      begin
    4 p; O  t& O9 R) i2 C# B! `  s1 ~    increment = val;
    ! x+ l* ^1 M+ f1 ~    carry = 1'b1;
    4 x2 F: |$ n6 Y- X' V4 L: ~    /*
    8 A# }- G  r" S" Q9 s8 P( {     * Exit this loop when carry == zero, OR all bits processed
    7 J0 a; ^2 o: Q: @6 A3 j. n. y; t4 e     */ " d) s) T9 j. `; \
        for (i = 4'b0; ((carry == 4'b1) && (i <= 7));  i = i+ 4'b1)$ y  [7 U% z+ c9 N6 A
           begin
    8 p# W* E! Y( L* y3 z" U5 O         increment = val ^ carry;
    # k9 d, V5 F( ?6 c2 ?         carry = val & carry;) y; W! V% G0 U
           end
    4 L( j8 X" Q* L  end       - n0 o4 T" H, n. _0 q8 G
    endfunction6 x3 ^1 x* U+ O& v8 F7 F
    8 _4 s" r# T' W4 B- l% u
    always @ (posedge clk or posedge reset)
    2 T+ a3 y% H4 b6 V4 ?  if (reset)% T. ^5 k* `0 i7 v5 n2 y* }
         count = #tpd_reset_to_count 8'h00;& w/ P- t! @( C. O. K$ M+ M
      else: b! k0 J6 `; J. A2 y
         count <= #tpd_clk_to_count increment(count);
    , `# B& x$ w0 q) A$ G5 [
    / I" }. k2 \6 t/*****************************************************************
    ' E1 H1 A9 _3 N3 }Use the following block to make the design synthesizable.3 H+ \3 Z; E) b8 u

    0 N& L  I0 }9 j  ~, l8 O+ T- oalways @ (posedge clk or posedge reset)
    & A1 g2 c) M9 t4 c/ G7 O  if (reset)  J+ J- h' o# c' B4 w
         count = 8'h00;
    5 K; |& f$ c' M- `8 |  else
    3 X. y9 X( p1 B/ M) x5 B8 M- C5 a3 d     count <= count + 8'h01;
    + L; Y# A* z" X" J) k5 c******************************************************************// T9 |4 s) c1 Y7 K- y2 `& ^
    endmodule
    / m) J2 Q  G) k) I  \* G  W- c( w3 _8 x  d9 c; P
    我的仿真文件:tcounter.v: l9 y" v1 s1 a# c
    `timescale 1ns / 1ns
    ) J. T- E, \( hmodule test_counter;
    * b! v! q* h1 P( `4 r; F1 }  n0 C$ w6 I6 J: s0 d- j0 j7 b
    reg clk, reset;' t$ Y$ t( K9 g2 P2 Y
    wire [7:0] count;$ {& ^7 W, n; t- L; ~0 O1 ^5 O
    7 {# |$ q* @/ R: h' t
    counter dut (count, clk, reset);3 f, p9 G* L" W( T* G+ H: k
    + K# e. U  _: u8 J+ K
    initial // Clock generator
    . P% O3 _: z1 ^: w! |/ _2 B  begin
    5 |. i; B; `- B* G& x    clk = 0;
    4 R8 I" P, Z* p" U. I    forever #10 clk = !clk;4 {* b: j! N" F2 h4 B7 p7 Z& Z
      end
    / b" Z; y) D  \2 L8 b( k0 {3 C  
    + p: N# T2 C* ?$ ^( p$ Minitial    // Test stimulus
    , r: T: |( M3 v; l$ s7 J  begin
    / c# G" }5 s# U8 x    reset = 0;  A" i$ F6 U; s
        #5 reset = 1;8 j$ N/ ~) `3 B; q5 E  o& f
        #4 reset = 0;
    , W! {5 Z& [0 E! W- h  end  i$ W' n4 L2 F2 `. j+ t
      
    + W* X- i2 Q' S" |, Hinitial+ R' J( s% n% V6 }  [( \
        $monitor($stime,, reset,, clk,,, count); ( i' H) B/ }. G- a; W) W+ w1 E
        & |! B2 N4 G2 Q1 [8 V' l+ F
    endmodule3 X2 M8 a: C5 z' [9 ?

    7 c% `8 k( |' N( s6 r
    ( R, K( |) B5 w  z2.打开modelsim软件,选择“File > Change Directory”,把目录改为我们在步骤1所创建的文件夹下,如下图所示# Z1 c3 ~' B/ _5 b
    & W' K  o7 P" Y/ ?/ y7 B7 _- D

    " \- W  z2 d# F  }3.选择“File > New > Library”,创建一个新的工作库,如下图所示:
    & A: A' o3 n; r' ]& z% d9 Z8 t   s+ Q+ k: E; {' d) _

    ( k9 J  V" j9 ?$ M/ E- ]4.选择“Compile > Compile”,编译我们的设计文件和仿真文件,如下图所示:
    . f( _- e3 N0 `8 N
    * y" Q# ]: d, |6 C- I2 V; d - l7 h% f! a+ O

    4 A' G) L; t6 `: c; O. z2 e5.最关键的步骤来了,那些老教程就是缺少这步骤中的关键操作,而导致没有波形输出:可视化并加载我们的设计工程,如下图所示:+ {) ^% I$ M/ r  M3 g/ A; ]

    * y' h0 G. j. C, e- _. C! m  I
    + j( z! Q6 l( ~, ?
    + v  p+ b: P+ L) n# W6 l7 C" C
    " m& a/ i( O$ T" g6.选择软件右下方的“sim”,再鼠标右击,选择“AddTo > Wave > All items in region”,添加观测信号给波形窗口,如下图所示:
    1 r  U, Z, s: |, [# ~: r; |( P 7 I" n9 T: J# g( Q9 Z- c

    6 Z8 a- b% x: |& c; J4 S: T" I7.选择软件左下方“wave”,运行仿真,如下图所示:. K, N7 ~) V4 C4 W0 B) x" H7 D! x

    + G' l. z6 r3 u + h3 m: {5 A& c- x; j- T

    ( x# s& g8 s/ L% v! H. ]以上就是GUI界面的仿真步骤了。$ E: \; O: V( i
    ) `* `7 X9 F( m! V* T. G
    二.脚本方式的仿真步骤& q2 i& D% Y" x/ _5 _

    5 C: B, h$ x5 H3 r我个人是不喜欢上述的GUI界面的仿真步骤,太罗嗦了,我喜欢更简单直接的脚本方式的仿真步骤,几行指令即可完成仿真。
    1 S/ V. w) x2 K0 Y
    # Q6 O" E. L& _& @下面就是几条主要指令,具体实现,大家还是看modelsim自带英语文档吧。
    5 [& Z1 {# |6 s+ l+ A  E+ J/ g* Z/ U' x- P# V( [! C' h  ^
    vlib work      //建库
    - T* Z% |9 K1 `9 C
    % U. S: k6 x1 R* J" i# ^( X5 x( Y' Mvmap work work   //映射
    3 q$ j% X1 A& v  j! V( m% G1 f
    $ Q+ D3 O7 J% Y5 B. [. r: Gvlog counter.v tcounter.v      //编译文本,此指令需要建立project才能运行
    # L  l1 F7 s& s/ S$ d5 E8 e* m4 q
    3 I+ k5 @- p' j9 X) xvopt +acc test_counter -o testcounter_opt  //可视化module3 ~3 ~8 E& `; A4 A% T+ c9 E8 o

    , t7 M/ m( ?( ~. Ovsim testcounter_opt   //加载工程6 z9 _; N8 M6 C" f' i

    1 `9 O7 T+ `& |add wave *    //添加观测信号
    3 r5 l- F5 q) ^& u' C* l, W, B* s- C# h# G" N! Q2 H9 A8 N
    run -all    //运行全部

    7 f: l" p5 N' Z, S4 `
    您需要登录后才可以回帖 登录 | 注册

    本版积分规则

    关闭

    推荐内容上一条 /1 下一条

    EDA365公众号

    关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

    GMT+8, 2025-7-29 01:07 , Processed in 0.109375 second(s), 23 queries , Gzip On.

    深圳市墨知创新科技有限公司

    地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

    快速回复 返回顶部 返回列表