|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
VHDL引用库library IEEE; //表示打开IEEE库,因为IEEE库不属于VHDL的标准库,所以使用库的内容要先声明
# i7 ]' I |# L9 juse ieee.numeric_std.all; //USE和ALL是关键词,表示允许使用IEEE库中numeric_std程序包中的所有内容,这个程序包主要是用来做数据类型转换
' B' P6 j' m1 r% `$ n% ^4 uuse ieee.std_logic_unsigned.all;
5 S" r- O3 M- J- J1 V# suse ieee.std_logic_misc.all;
( O- O) U4 K, L8 Vuse ieee.std_logic_1164.all;- S+ G; r- m9 U" |. n" T
library UNISIM; //UNISIM是xilinx的库函数,为了仿真使用。Using this declaration, the simulator references the functional models for all device primitives. In addition to this declaration, you must compile the library and map the library to the simulator. ' b' o& [9 v# L% \' N0 D8 Z
use UNISIM.VCOMPONENTS.ALL;5 {1 ^' V+ q7 p2 g
- E' @& _& D- @; g/ |
; l7 h- A O1 L W" B
# G& } q, [8 ]" [! t5 ^ |
|