|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
library ieee;: c r4 r0 B- a2 l9 n
use ieee.std_logic_1164.all;
/ ^2 y& X! i7 [8 u" F' \ }entity d_top is0 Q8 {& T$ D6 c9 T7 z- c: q" j
port(d,lcr,lck: in std_logic;6 M6 \/ ^5 a. L. \( K1 h
q: out std_logic);0 G, P+ c* J/ C! C
end d_top;
+ A2 l# c4 H5 C' e) Marchitecture dd_top of d_top is) ~: n" Y5 C1 ]( J, ]! B
begin
! Q# J) e& ]0 _2 {& r process(lcr,lck)
. K0 _9 w7 n; H" ]2 Q begin
: l5 V+ {3 d5 U( r- W' E if (lcr='0') then, p5 j! |9 F* G! t, K3 P
q<='0';0 S. B, @5 J' ]% L1 V( {
elseif (lck'event and lck='1') then
/ V& I$ Y" R4 v8 ?2 ~& h; r q<=d;+ Y, k: @! X6 I, Q, x: c, Q
end if;
+ q2 K( x' b6 ~$ t6 i end process;+ q, M" N- E1 s( \" D$ m1 w' x
end dd_top;
, X9 _- A. M. L+ w8 ~ |
|