找回密码
 注册
关于网站域名变更的通知
查看: 1894|回复: 1
打印 上一主题 下一主题

verilog简单实现除法器功能

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2019-12-31 10:08 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x

' \5 D) u: w% \引言/ g2 |; ^5 C6 E

6 T" g2 }5 w+ g+ F; G$ r. l& V除法器在FPGA里怎么实现呢?当然不是让用“/”和“%”实现。
: h' F, ]6 H2 M9 l! g$ d# _在Verilog HDL语言中虽然有除的运算指令,但是除运算符中的除数必须是2的幂,因此无法实现除数为任意整数的除法,很大程度上限制了它的使用领域。并且多数综合工具对于除运算指令不能综合出令人满意的结果,有些甚至不能给予综合。即使可以综合,也需要比较多的资源。对于这种情况,一般使用相应的算法来实现除法,分为两类,基于减法操作和基于乘法操作的算法。
0 v  T4 V( A* g% _+ n; L3 r) Y( b7 T" F
2.1 实现算法8 k8 Z9 f6 |) m. y" a3 s
8 b' C/ Z) [3 L1 k4 j
基于减法的除法器的算法:- h+ [2 X5 V6 V, S- m" H5 T
        对于32的无符号除法,被除数a除以除数b,他们的商和余数一定不会超过32位。首先将a转换成高32位为0,低32位为a的temp_a。把b转换成高32位为b,低32位为0的temp_b。在每个周期开始时,先将temp_a左移一位,末尾补0,然后与b比较,是否大于b,是则temp_a减去temp_b将且加上1,否则继续往下执行。上面的移位、比较和减法(视具体情况而定)要执行32次,执行结束后temp_a的高32位即为余数,低32位即为商。  t6 h0 o$ R1 @' t+ O/ j

2 X% e( s& d4 f5 Z& d: B2.2 verilog HDL代码
) w5 j, T1 h1 T3 s; H( M& S" p0 j" d( j4 g
  • /*
  • * module:div_rill
  • * file name:div_rill.v
  • * syn:yes
  • * author:network
  • * modify:rill
  • * date:2012-09-07
  • */
  • module div_rill
  • (
  • input[31:0] a,
  • input[31:0] b,
  • output reg [31:0] yshang,
  • output reg [31:0] yyushu
  • );
  • reg[31:0] tempa;
  • reg[31:0] tempb;
  • reg[63:0] temp_a;
  • reg[63:0] temp_b;
  • integer i;
  • always @(a or b)
  • begin
  •     tempa <= a;
  •     tempb <= b;
  • end
  • always @(tempa or tempb)
  • begin
  •     temp_a = {32'h00000000,tempa};
  •     temp_b = {tempb,32'h00000000};
  •     for(i = 0;i < 32;i = i + 1)
  •         begin
  •             temp_a = {temp_a[62:0],1'b0};
  •             if(temp_a[63:32] >= tempb)
  •                 temp_a = temp_a - temp_b + 1'b1;
  •             else
  •                                 temp_a = temp_a;
  •         end
  •     yshang <= temp_a[31:0];
  •     yyushu <= temp_a[63:32];
  • end
  • endmodule
  • /*************** EOF ******************/
    6 A/ A. Y8 x% @: @0 L
         0 h* z9 F* C! `( n( s. ^# r

4 n( e9 n/ `% u. }) i2.3 testbench代码! ~/ y. f8 Z% ^( b+ t
  • /*
  • * module:div_rill_tb
  • * file name:div_rill_tb.v
  • * syn:no
  • * author:rill
  • * date:2012-09-07
  • */
  • `timescale 1ns/1ns
  • module div_rill_tb;
  • reg [31:0] a;
  • reg [31:0] b;
  • wire [31:0] yshang;
  • wire [31:0] yyushu;
  • initial
  • begin
  •         #10 a = $random()%10000;
  •                 b = $random()%1000;
  •         #100 a = $random()%1000;
  •                 b = $random()%100;
  •         #100 a = $random()%100;
  •                 b = $random()%10;
  •         #1000 $stop;
  • end
  • div_rill DIV_RILL
  • (
  • .a (a),
  • .b (b),
  • .yshang (yshang),
  • .yyushu (yyushu)
  • );
  • endmodule
  • /******** EOF ******************/+ Z2 [0 C- ]# C0 M7 W
        7 K. Z7 p, G' m. s/ y, y

! J$ r0 w1 [: r5 {9 D: F- R7 r2.4 仿真结果1 e: T7 v; Z* Z- z
- n# k) F4 A; K' e* _
3 V. `& P$ D6 C$ X

* b, N! g( W( H1 B+ }
% {4 M: o8 {' _$ v# G& ?: u! L# B* z8 E; N7 F
2.5 改进
) w0 k0 w  e& n; Z& s3 x/ n* l: f/ z! h( f/ r  ^6 D+ x1 |
1,将组合逻辑改成时序逻辑,用32个clk实现计算。9 E, |2 |6 u9 Z# Z) l

" j  C0 B% T$ D+ s6 ?9 K6 f" [4 {2,计算位宽可以配置,具有扩展性。& |$ H# o. v8 [" G1 Z2 I3 H5 _

( M2 T' A2 k6 ~. M 0 b( W1 B5 ?% @3 t1 Y  p) O& }" d
附录:算法推倒(非原创):
7 t6 h6 R" \  ^/ E7 A9 k' Q7 q
" H4 r' A+ v+ ^9 z& g. C; Z假设4bit的两数相除 a/b,商和余数最多只有4位 (假设1101/0010也就是13除以2得6余1)
1 r; J5 d* L/ p
6 p% o) R% p: s+ {0 e9 \我们先自己做二进制除法,则首先看a的MSB,若比除数小则看前两位,大则减除数,然后看余数,以此类推直到最后看到LSB;而上述算法道理一样,a左移进前四位目的就在于从a本身的MSB开始看起,移4次则是看到LSB为止,期间若比除数大,则减去除数,注意减完以后正是此时所剩的余数。而商呢则加到了这个数的末尾,因为只要比除数大,商就是1,而商0则是直接左移了,因为会自动补0。这里比较巧因为商可以随此时的a继续左移,然后新的商会继续加到末尾。经过比对会发现移4位后左右两边分别就是余数和商。
0 u+ u$ E% G3 g$ f% b, {! {+ [# M5 ^3 H' a- F( s- B2 Q
画个简单的图:; ^# s' Y0 Z2 B2 r0 s+ g$ n
: k; K5 p( G  `  p6 |

2 g: L3 F9 p. k( m$ a6 D
9 T6 k7 f: c* u' p. _% a" a, G* c7 Y% b: \) v
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-11-25 22:23 , Processed in 0.156250 second(s), 27 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表