|
|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
5 E, t4 R6 B6 d5 w1 l) X+ a, c4 D引言
; {: R# C$ I( ?& |7 N1 l- ]& Z) ~, p B' \1 d
除法器在FPGA里怎么实现呢?当然不是让用“/”和“%”实现。7 Z8 B9 T! _% `/ F4 C H
在Verilog HDL语言中虽然有除的运算指令,但是除运算符中的除数必须是2的幂,因此无法实现除数为任意整数的除法,很大程度上限制了它的使用领域。并且多数综合工具对于除运算指令不能综合出令人满意的结果,有些甚至不能给予综合。即使可以综合,也需要比较多的资源。对于这种情况,一般使用相应的算法来实现除法,分为两类,基于减法操作和基于乘法操作的算法。+ n) g% Q, Y! o4 p5 y3 Y) j: F( p) C' q
' e% l6 o$ U: u; R3 n+ o8 @& `; U
2.1 实现算法
; C) s0 x9 G( R
R, E, D- r* {' c基于减法的除法器的算法:& e' E T& _1 Q% x7 n8 v( l
对于32的无符号除法,被除数a除以除数b,他们的商和余数一定不会超过32位。首先将a转换成高32位为0,低32位为a的temp_a。把b转换成高32位为b,低32位为0的temp_b。在每个周期开始时,先将temp_a左移一位,末尾补0,然后与b比较,是否大于b,是则temp_a减去temp_b将且加上1,否则继续往下执行。上面的移位、比较和减法(视具体情况而定)要执行32次,执行结束后temp_a的高32位即为余数,低32位即为商。3 ]2 H2 l, _, N4 f" ]
V6 u: i9 }; _8 Q
2.2 verilog HDL代码
2 s1 H5 Y( y1 c! i Q! _
( H( Y+ Q6 y$ X- /*
- * module:div_rill
- * file name:div_rill.v
- * syn:yes
- * author:network
- * modify:rill
- * date:2012-09-07
- */
- module div_rill
- (
- input[31:0] a,
- input[31:0] b,
- output reg [31:0] yshang,
- output reg [31:0] yyushu
- );
- reg[31:0] tempa;
- reg[31:0] tempb;
- reg[63:0] temp_a;
- reg[63:0] temp_b;
- integer i;
- always @(a or b)
- begin
- tempa <= a;
- tempb <= b;
- end
- always @(tempa or tempb)
- begin
- temp_a = {32'h00000000,tempa};
- temp_b = {tempb,32'h00000000};
- for(i = 0;i < 32;i = i + 1)
- begin
- temp_a = {temp_a[62:0],1'b0};
- if(temp_a[63:32] >= tempb)
- temp_a = temp_a - temp_b + 1'b1;
- else
- temp_a = temp_a;
- end
- yshang <= temp_a[31:0];
- yyushu <= temp_a[63:32];
- end
- endmodule
- /*************** EOF ******************/
2 O: X* c! ]6 j) J6 F1 ~ & L7 M6 q% p( j. P v2 ]; {! ], l
' Y" J. f/ c+ }7 h
2.3 testbench代码* y3 @; f% n7 \5 V, o# I
- /*
- * module:div_rill_tb
- * file name:div_rill_tb.v
- * syn:no
- * author:rill
- * date:2012-09-07
- */
- `timescale 1ns/1ns
- module div_rill_tb;
- reg [31:0] a;
- reg [31:0] b;
- wire [31:0] yshang;
- wire [31:0] yyushu;
- initial
- begin
- #10 a = $random()%10000;
- b = $random()%1000;
- #100 a = $random()%1000;
- b = $random()%100;
- #100 a = $random()%100;
- b = $random()%10;
- #1000 $stop;
- end
- div_rill DIV_RILL
- (
- .a (a),
- .b (b),
- .yshang (yshang),
- .yyushu (yyushu)
- );
- endmodule
- /******** EOF ******************/, p: q7 U: V# U, }" Y/ A! G
$ R( f1 c2 ? h u0 d0 x. P. l. H" W0 i' K& ~3 p+ \% V
2.4 仿真结果
, _1 L: k" x9 m" P( x$ B5 t X5 z5 k, _- S& ^ ?
! m* k% e+ o. I' X6 O; Q
6 ]8 |; z8 |% y$ ?- u) M' h; Q& F3 S& @; Y1 T' {
9 J( Y7 o* b U& G# C2.5 改进. q: X$ t& K9 { N1 G* _
: V6 _: B5 N6 r' v% W1,将组合逻辑改成时序逻辑,用32个clk实现计算。2 o, n$ ]! G6 A5 [) j7 H' v3 y
7 O* G3 ]* R5 T- r; p6 q2,计算位宽可以配置,具有扩展性。5 g, y/ @0 u' e7 M! s/ x; ]: a. G
* O. {; ~7 C& k# Y 3 t' Q: h: Y% g" N
附录:算法推倒(非原创):. E0 Z: b' ~( r
+ G }+ P1 i; Q1 U4 A假设4bit的两数相除 a/b,商和余数最多只有4位 (假设1101/0010也就是13除以2得6余1)1 R& }- t* G% f0 I& z& t8 u
& m* W4 N: z% r8 p+ y9 `$ i8 Q/ O我们先自己做二进制除法,则首先看a的MSB,若比除数小则看前两位,大则减除数,然后看余数,以此类推直到最后看到LSB;而上述算法道理一样,a左移进前四位目的就在于从a本身的MSB开始看起,移4次则是看到LSB为止,期间若比除数大,则减去除数,注意减完以后正是此时所剩的余数。而商呢则加到了这个数的末尾,因为只要比除数大,商就是1,而商0则是直接左移了,因为会自动补0。这里比较巧因为商可以随此时的a继续左移,然后新的商会继续加到末尾。经过比对会发现移4位后左右两边分别就是余数和商。" V( c1 R& B/ `: p$ u
! W7 K$ ]' s% q
画个简单的图:
9 P4 a& J3 z) d0 Z/ X/ d1 @# e' B% ^8 u" |! ^, F7 k, }
/ Q" s. n; f4 N: _
/ D8 N8 k: Y; S
6 J, f( i4 I' b( j: g- X8 k" J |
|