找回密码
 注册
关于网站域名变更的通知
查看: 1492|回复: 1
打印 上一主题 下一主题

如果计数器要计数上百的话格雷码计数器如何设计

[复制链接]
  • TA的每日心情
    擦汗
    2020-1-14 15:59
  • 签到天数: 1 天

    [LV.1]初来乍到

    跳转到指定楼层
    1#
    发表于 2008-12-11 18:50 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

    EDA365欢迎您登录!

    您需要 登录 才可以下载或查看,没有帐号?注册

    x
    如果计数器要计数上百的话格雷码计数器如何设计
  • TA的每日心情
    擦汗
    2020-1-14 15:59
  • 签到天数: 1 天

    [LV.1]初来乍到

    2#
     楼主| 发表于 2008-12-11 20:36 | 只看该作者
    `timescale 1ns / 1ps0 W- s2 H( D+ L; Z4 y" ]( S
    module GreyCounter, N' i9 A7 ~* T4 \
    (
    " w  I+ r1 |# `' A( [$ w  d        // outputs:/ e5 q4 F0 Y$ Y. p7 t0 e
            greycount,
    + S& [- N% M( p        , j' e& W: e. v8 R" w
            // inputs:/ _9 i1 H. U6 Q4 c
            clk,$ B, U! G; m/ q: y1 O& m
            reset_n,
    . _- X1 g+ E& ~8 M, I1 R        en,  `4 D4 }, z, K) Q( q
            clr
    ' S- M* T+ F& H5 I);* Q8 V! k# s- ~
    . `9 h- t( E# |  G) @5 ]0 ^. E
    parameter WIDTH = 8;
    * Y9 T: a: E3 D$ sparameter DLY        = 1;! V7 A# ]! C7 P) }
    - L  L# u7 K. D3 z2 D
    output [WIDTH-1:0] greycount;
    7 k9 r3 O/ E& E( c% F# k. ?, I9 \, g" y( r9 x: e
    input clk;
    # H$ b% Y& R6 o) E/ Iinput reset_n;  z. w% e5 J1 P
    input en;2 |" [2 ^9 ?9 W. k( P7 w1 U
    input clr;
    3 ~" m3 p) H! q0 l3 B: f3 e0 z* V' T( |! P0 }% v- L  [3 ?' i
    reg [WIDTH-1:0] greycount;, f; S  [+ {. s) A5 B/ A
    reg [WIDTH-1:0] bincount;
    5 ?& o3 H0 o& linteger i;
    ( u1 \6 o" }8 e* x* F: Y8 Z
    . s! ]+ x5 ?3 U7 aalways @(posedge clk)% ^* }6 Q" k8 R+ J& D. e5 A
    begin7 y9 F, Z- W; A0 M) l7 D
            if (~reset_n)- e+ p+ h7 Y8 [6 e% v+ @9 n( _, e
                    bincount <= #DLY 0;
    + C: J% M! A4 `) ]& {3 r        else if (clr)
    ( g# }! L) N1 }# i3 T( i$ \3 e                bincount <= #DLY 0;& L; q: e: X$ {" Q) U- [5 R
            else if (en)               
    9 P/ b5 i" {1 ^: K; C" w/ Q  v                bincount <= #DLY bincount + 1'b1;
    ' c& Z0 ~. f" W7 h) J- O+ H        else: R6 `; n7 ?/ ^/ [- P1 z( g7 }# q
                    bincount <= #DLY bincount;
    , I, L4 @6 x) Y% B1 v  m% Z0 zend
    ' X. t( p) H3 O, a- U: Y9 a2 A. C: j, y& @
    always @(posedge clk)( {( B, k) D- i. m( n8 l# V
    begin
    : E+ G, `( a% ~' f. c2 }! P2 ]# L        if (~reset_n)/ u- u& e" o1 f4 l0 [, }
                    greycount <= #DLY 0;0 i# D7 }8 K- b4 b( s% V$ T* Q
            else
    " J4 e) i+ f1 @; V5 [# h4 v        begin* j/ }4 H/ t) u0 O" Q. ^
                    greycount[WIDTH-1] <= #DLY bincount[WIDTH-1];& K# c' E- R$ I/ r% p
                    for (i=WIDTH-2; i>=0; i=i-1)
    & C! l- _0 n( R, \                begin- ], b3 {. m: ~- b& a4 B
                            greycount <= #DLY bincount ^ bincount[i+1];2 x3 p( c: D; T) k: Q
                    end
    0 h# L0 J. a) a) o: s/ `2 ?        end
    8 H# P' X9 \# o- oend# H( U1 E" }0 H) q) N

    : T: J! o8 l# ]' u8 Iendmodule
    : @7 C3 k* T- M" c( Z7 o  e$ J+ b) \$ r+ c8 L' f
    貌似上面的只能用于激励仿真吧
    ! s+ ]% _4 u) D3 \for循环 ~~!
    您需要登录后才可以回帖 登录 | 注册

    本版积分规则

    关闭

    推荐内容上一条 /1 下一条

    EDA365公众号

    关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

    GMT+8, 2025-7-19 21:00 , Processed in 0.109375 second(s), 24 queries , Gzip On.

    深圳市墨知创新科技有限公司

    地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

    快速回复 返回顶部 返回列表