找回密码
 注册
关于网站域名变更的通知
查看: 382|回复: 1
打印 上一主题 下一主题

关于采用两级触发器实现双时钟域信号同步方法的分析与验证

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2020-6-11 13:26 | 只看该作者 |只看大图 回帖奖励 |正序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
引言
; }: G4 ^" e5 H* M& Q实现双时钟域数据的交换,最常见的方法就是采用双时钟的异步fifo。但是对于单根信号线,如果仍然采用异步fifo就显得非常stupid,这时,往往通过两级触发器来实现同步。
* M, N, g+ n5 X. d: x' b# X; H
8 x  [) Y( T) h/ x. n6 e那么,两级触发器是如何实现同步的呢?本小节就做一个简单的分析和验证。' }, M; s2 ]# Z: q  L/ b5 I

4 i  M7 z, A$ c. x& v" ?$ @$ [# ~. |+ Q4 f1 j; z
1,一段代码
$ s* {3 J4 ^) F  N. O0 C6 K2 [
( ?7 ]8 j  \8 [: a' R1 t- i下面是我刚刚从ORPSoC的工程里看到的一段代码:0 I1 a+ t/ }( z

) e  v# @3 c: o- Y/ w6 r4 c) t9 Q/ H( V5 T7 t
//'ddr2_writeback_done':generate in ddr2_if_clk domain
( ?( i/ ~* m" f! }# X$ E% m7 Malways @(negedge ddr2_if_clk)5 M( i2 `  ~) e
        if (ddr2_rst): ?  H* z6 _# i6 l  v3 i- U
                ddr2_writeback_done <= 0;+ }& s" I3 q9 f0 b8 Z. P9 s: y
        else if (ddr2_writeback_done_wb_sync2): {3 a( [  @1 a& c. p- G" S8 p
                ddr2_writeback_done <= 0;5 J6 g8 n  d; t4 k7 J
        else if (ddr2_write_state_shr[6])
7 z7 @0 Z( x  q2 g% @                ddr2_writeback_done <= 1;
" Z: ]% Z) f5 \6 [9 r% p2 W
3 e( }7 v' M8 c' c; F- ]' Z//'ddr2_writeback_done' sync to 'wb_writeback_done' in wb_clk domain4 W" \) g  S0 E5 S7 h6 U
always @(posedge wb_clk)
* u) {% w; g/ s/ s: h        if (wb_rst)! m6 k% U2 v+ `$ [' m3 K# p- q
                begin, X& a* S8 l" p, v5 P  S
                        wb_writeback_done_sync <= 0;% A9 m- m1 g/ m. Y+ o$ S) J
                        wb_writeback_done_sync2 <= 0;" b8 x) K  V; P; u; X5 t
                end
$ {- d% G& j. x% |     else) `+ v+ V4 A2 G. Z5 q1 j0 z5 S
                begin
0 y& i+ S5 N- x2 n4 U# C                        wb_writeback_done_sync <= ddr2_writeback_done;- C3 P  k' o; T
                        wb_writeback_done_sync2 <= wb_writeback_done_sync;9 t8 k( B8 d3 U# Y2 _8 o# u8 ^
                end9 Z+ c9 F- M7 f9 ?+ h

2 q' B$ n  Y* |  k7 Z2 eassign wb_writeback_done = !wb_writeback_done_sync2 & wb_writeback_done_sync;
, [* T3 c) Y2 @+ ?6 B3 F3 J6 [$ S+ v

; }& ]1 W# {+ v- r/ v//use 'wb_writeback_done' in wb_clk domain
' H2 v, w1 ]$ m  a  \# I1 nalways @(posedge wb_clk). B( S9 K7 K" `) ^. ^3 N
        if (wb_rst)  H: }$ ]2 l0 e% ^8 ~% r
                do_writeback <= 0;6 i* {0 M+ a5 ]% z% |: x' _
        else if (wb_writeback_done)7 W$ c/ P! |9 ~+ Z7 ], o, X) u% N2 K) e
                do_writeback <= 0;% M5 F  B# @0 E# V/ m, y8 Q" n
        else if (start_writeback)) e5 n4 i4 ]4 t( A# n- S
                do_writeback <= 1;( K' e* U8 ?( R2 M, J  ?
               
: {) E  L# m; j% `% B3 G               
5 q) j0 D$ D( U+ ]( }                1 }  O* I# s* {

+ l! Z; ^/ Z% l4 z0 z) ~" G4 f; J6 D/ V
这段代码就可以实现两个时钟域(wb_clk和ddr2_if_clk)间ddr2_writeback_done信号的同步。
! N6 ~$ q/ Y6 j% _# z! f8 Z' M) `. f% U

/ K* |- j" u" Y% ^# O8 O1 s( d& ]& ?/ O9 Z1 y* S! y+ d6 ]
2,编写test case
, N- J2 H6 C( F- }( @9 r4 V为了更清晰的展示其具体的同步过程,我写了一个简单的test case。; @  z% n" B4 d8 \+ U9 _
; R6 r' r) o6 U& `
a,可综合的sync.v:
. W) p# Q1 l6 |/ q
& t$ p  J8 R9 x2 l" K) ]# r& v  T  U% x$ }7 t3 B% H  c

1 p. Q: B+ ]7 n" G0 J  h. |8 U/*# Q: i; i! t0 ]: P! S5 L
* file name                :sync.v, H' p) z* |4 V
* author                :Rill5 t: n( a2 Q6 i9 E9 E2 @) I
* date                        :2014-04-12' y& _4 e$ l3 r( @; f7 w0 M
*/
' A+ @! D3 Q3 o' l; u9 r) N+ K6 H5 M! N( V  D/ h7 k' _' g

7 n" y- f$ h: _, z, C9 [' \module sync4 B7 l) x% M) O2 ^: p6 t, h- V3 p
(9 C; m4 y1 C0 Z/ e/ e# C# L
input clk_a,
: f' n& r2 x/ Linput rst_a,
# h4 B- G: j0 C2 v! ?input enable_a,  H. g8 k: `' ~  P! n/ j
% K/ x2 D$ ?/ p
input clk_b,; m% l! w) \( `" `; ^* V
input rst_b,
" z/ v$ i9 J- d: [( [8 c8 `output enable_b! A6 @% q- x' M# t% r9 m2 b
);
$ |4 T; _. ^: G
  ~. ~% u9 M) n8 ereg signal_a;
( O: V) N' ?! S- X: f7 B5 }4 n  Q9 f9 R# Q" Q4 W4 M% I, u
reg sync1;
, v3 ]( G+ q8 W+ e0 ]8 ^reg sync2;; ?0 I; I9 @! M2 i
" q  ~: r# }1 t+ N' _" C
wire signal_b;# @. `% P7 W3 X( {' @  w2 X* c. I
reg enable;
; z, R" \3 y8 w) j4 ^. V; X0 O2 {; `9 ~
assign enable_b = enable;& M% g- P+ e5 x: z% P; x4 n' \) D

7 n8 ~1 B6 i# o; [//'signal_a':generated in clk_a domain( U/ C3 W" D& i# F- ]2 n- s3 s, `
always @(negedge clk_a)7 E6 z" M( X( X# X$ r
begin) s" V) p+ G# h' B
        if (rst_a)
( R* P6 _, I/ }                signal_a <= 0;
5 O, r; I' X2 }  f7 C1 O        else if (sync2)
2 H$ ~. F# n5 |6 R' C                signal_a <= 0;
9 A/ b5 ?# w4 R* j% L; I        else if (enable_a)$ ^+ o1 a% N) o0 |, l
                signal_a <= 1;
( ], Z7 B2 Y$ T3 H7 t; @; Nend/ {# D1 _3 i3 d
//'signal_a' sync to 'signal_b' in wb_clk domain' C+ [/ W4 o# k# D  Y5 D  p
always @(posedge clk_b)/ u3 Y. y0 d0 x( c5 V5 }* F
begin
0 S0 K# t) ]4 F7 h- J4 N        if (rst_b)& S* _: Q( a+ l- U6 ^8 L
                begin' Q+ v7 z/ L+ h! v
                        sync1 <= 0;/ X9 Z. z7 S* w, R/ ~& Q; D( G
                        sync2 <= 0;
/ s% B5 B. r$ E) \" \9 t# O2 p9 M                end & V+ c, W" n/ Q; k$ R
        else5 t7 X0 }4 Y  ?% r
                begin
2 T, G2 t( Z3 i- d8 g9 q; I; p                        sync1 <= signal_a;
! [6 K- W% Y! K+ G3 d: u9 x/ d                        sync2 <= sync1;3 t! h1 w; ~* D
                end; q! B/ S3 j8 `7 }+ j8 a2 u$ R- n
end% x3 w& n- W* h" W: q/ ]9 Q" j; t

" E+ |" v. f; {, Q( [3 uassign signal_b = !sync2 & sync1;
# z$ K. b' |& x$ R  |7 R! B- U; m8 C: C0 x. |0 }/ c1 q
3 A' R: b9 D; a  ?3 M! @# [
//use 'signal_b' in wb_clk domain* A" v9 i# J7 |1 M1 A
always @(posedge clk_b)$ q3 q" h* D( z6 k
begin
) W1 x7 N+ ?# e# c        if (rst_b)
7 K/ |# u- U3 m                enable <= 1'b0;
6 Y1 B/ r+ C& |! f- I        else if (signal_b)
& @& g0 C  t3 ~' B( C7 l1 T& U                enable <= 1'b1;; l" g% L1 G6 T# F
        else& M2 c6 k4 ^- R( m3 Q1 Z
                enable <= 1'b0;+ D# E6 h4 L8 \0 B2 _" ]2 [
end  x0 P. s8 t3 y; R7 Y
% i8 q1 O: d( P
* `0 O: n7 H& h, f) S, k  W, Y
endmodule
: l6 x& E; j! f! F- r5 t8 M; V                3 C* }; g+ |! s& p
/********* EOF *************/% o; L( n8 `  F% o6 s

! d( P: Z1 t. j9 Y/ l
0 \# J' E5 K, n; y0 Kb,不可综合的sync_tb.v:
4 p0 G& S6 v3 q
4 ^, e4 C* ~6 _, w. A% C; A( n( D/ T" w9 m& r, L- X
! s9 Z/ x2 Y( j$ r) k! x1 l" [
/*
6 Y: B3 N& s* R, P: S! O* file name                :sync_tb.v4 j4 T$ x; V3 W$ F+ P
* author                :Rill$ I; [3 S( N, y" ^1 C
* date                        :2014-04-12
2 f/ m! O& X/ C% W*/
  j/ n( l, X) w; _, b/ L: S0 J8 B$ v+ ^
`timescale 1ns/1ns
1 w1 o! _7 ^2 H4 d  t, j+ ?. Q3 h& R, H" t, E' H9 C

3 _# X* R! W6 a: q9 ]$ i. S; `8 ~module sync_tb;" @' y* F& \* D0 l8 r0 s" P

! s  ~2 D8 e# W  ~4 q3 Z2 |- d( lreg clk_a;2 v9 R( M1 X. l1 Q* T8 i
reg rst_a;$ C  k. x& c' }% _- Q0 }6 @2 d
reg enable_a;
" o$ m' u- u  J/ S) X) n4 ]
1 w' W8 z( ~2 h# L( _) a3 Nreg clk_b;. B6 ]9 e& Q% x5 Q
reg rst_b;
9 I! m, q# ~& b) _7 l2 Pwire enable_b;) H& C( Z/ S1 L! L- V
/ n) {/ k" ]+ M# ]

4 p, h, A, H2 M" `, Hparameter CLK_A_PERIOD = 10;/ W& \: Z+ q4 R) @
parameter CLK_B_PERIOD = 30;3 V: K$ e* z8 I2 _2 j( b
. K& I7 M$ c; \1 m  M) {" @8 \
//gen clk_a1 f2 q# u( u4 w+ M$ I* B7 z
always #(CLK_A_PERIOD/2) clk_a = ~clk_a;
) G, ]- C8 `# [/ U1 g; @+ V: ^# e' r6 H+ R% y3 q
//gen clk_b
+ D5 u. g& ^8 N8 D9 k0 t/ ^always #(CLK_B_PERIOD/2) clk_b = ~clk_b;; |, S) a- S, t. Z$ S3 J# Y' }) A

  P# X$ a5 E" [/ w, Z! X# Y* n9 j5 U; R3 ?) I8 t
//gen clk_a domain test pattern
: f5 `) |  c% t: m) y0 dinteger m;2 K- X  G4 z3 K3 ^. C
' D; P+ Y% D9 W7 |% b  Z) S( q
initial
+ D& M& y  W3 U9 ^7 Sbegin
. G2 t: I( |9 ?9 O        //rst
) Q1 J2 _* F& v0 i( \, B/ P        #0+ q/ V, m  H; F5 s( p7 E/ ?' c  f
        clk_a = 1'b0;
, {' L- `2 B8 V8 Q9 a$ L1 T        repeat (10) @(negedge clk_a);5 A! D  y5 g$ g1 V
        rst_a = 1'b1;
, S$ @4 Q4 V6 j- J& t        repeat (10) @(negedge clk_a);( q! o4 R0 l8 f( N8 \* I7 T# `* j
        rst_a = 1'b0;' O% l6 Y. Z. i9 B
       
; R% I$ }, h9 i( }# j0 z8 Z        //wait clk_b domain rst done
/ f, x$ U& V2 T  r! k: w        repeat (1000) @(negedge clk_a);9 m. w* C! L5 T
       
( E( b& s. u$ K1 x) S        //gen test signal% X  ]- b. l. d: |( k6 k
        for(m=0;m<10;m=m+1)0 e6 B0 N) }- h' x  s  _! q
        begin
* v# e* E2 s4 C                enable_a =1'b1;
# \: s# b" y3 G3 H0 x3 j  b, h                @(negedge clk_a);
0 p* M, K7 o! g' h/ ?7 t                enable_a =1'b0;
7 T% M! Z. i  H  y, Y
$ M! B3 a+ Z& p/ h$ S7 i                repeat (100) @(negedge clk_a);
" J6 M9 r! G$ u; [        end
( B8 M6 t; E3 m. B. I+ J. f       
7 `$ N2 Y: Y1 t( z8 i        repeat (1000) @(negedge clk_a);
( O0 z* ^/ k. K$ `5 T$ f; z        $stop;
4 Z6 E3 y; b; T) f       
0 W, s0 X+ \2 |% @/ d  T: Tend
; P, ]! v& P3 N+ N* ]5 n, ]  q# B1 `1 X9 ?$ k6 f9 z2 M/ X
initial) w' v/ _+ e0 j5 H
begin# j" R0 Z' {- @% J4 q5 |
        //rst
0 S$ C4 i) `1 p- T        #0
+ a+ O. L( V+ R/ u        clk_b = 1'b0;
  P3 @# q2 N$ j' p& T* e) j        repeat (10) @(posedge clk_b);# B. V0 q: w+ ?# X4 R9 X
        rst_b = 1'b1;
$ P7 v! H, ^' y7 h9 |        repeat (10) @(posedge clk_b);
$ R( i- g; j& ]2 @6 B& N5 L        rst_b = 1'b0;
3 I9 Y8 B+ p! u4 X: h- S       
) u8 a/ i$ i) m, u4 pend
2 p' A! h3 v$ V- v
4 }8 b* _2 i. {% w' a0 Q2 J" y. R% i5 g
sync SYNC0
9 k3 F; {. R. [; G! z(' R( h6 a* T: q( M( j5 O) Q
.clk_a (clk_a),: ]4 F5 Y: }7 r; m2 ]
.rst_a (rst_a),# l1 w7 r7 x; _. k, v+ p
.enable_a (enable_a),
5 M; L1 h& O9 h; s* {  ~. J1 a# |) g& u
.clk_b (clk_b),
9 B* a2 {8 x' ^8 M.rst_b (rst_b),
( h0 E: }8 g& e1 [) G.enable_b (enable_b)
! F* j4 T0 C; c6 _: t* \( D0 g- \);
5 \" z3 @1 q' G' X$ i! c2 D0 j  E: x5 b9 p0 E0 s% ]  A" w
endmodule1 t3 k1 q$ P2 \% H* H/ ]

  l' T) u9 [1 @8 r$ m8 _$ L/********* EOF *************/4 ~; S4 p' H+ z0 P
6 p) Z/ u0 D% x* u( G! Z

9 d0 P9 q4 {% |* E6 v. w7 F0 Q* [2 G1 b

. ?5 M" ]) p0 T& L1 K3,前仿验证A
) l" m7 B( p1 I5 n+ R下面是前仿的波形:0 P; Z- t2 J0 d* r

! o- t. [- v, i9 K 9 }! b9 O; [, |6 C& m2 Q/ R
& S. [* A& x% j: K4 e

9 H) v5 j  m: ]$ U
/ h9 W! K0 F$ X' Q( V0 X6 N( c从中可以看出:
8 Z$ P3 D5 h# D/ c' b: w; [3 q2 w+ `- k$ n- e7 Q
a,clk_a是下降沿触发,clk_b是上升沿触发。
! e5 e* s7 |. C" ]; t; V* i2 a" f9 z' G( t; h
b,先看m信号上面的波形:
7 m& b6 G" d' A1 z9 U1 D
9 s- J8 m6 a  \; z7 Uclk_a时钟域产生了一个enable_a信号,这个信号被clk_b时钟域detect到了(enable_b信号)。
  U' @# s8 P8 F7 i! G" O
+ d0 u! |7 o1 Henable_a信号是clk_a时钟域下降沿同步的。enable_b信号是clk_b时钟域上升沿同步的。, B4 m8 Y! G, [/ N# _' \+ {6 @
1 [  V+ C0 W; \! m& E1 f8 L
那么具体是如何实现同步的呢?我们再看m信号下面的波形。
% O: C" o+ L# x/ \. k, L; v3 O% w; m* O$ x2 m: K7 @, u* ?6 q7 [
c,首先clk_a时钟域下降沿产生一个周期enable_a信号。
/ K# T0 I8 x4 w* Y% l# |& D/ v3 h9 D- V: p' x( `# z' V- n2 }
d,这个信号寄存到和他同一时钟域的signal_a。
9 z; ]$ g1 T" L' A6 J- k/ C% D7 n) b4 V2 w: A1 Q3 O+ E
e,异步的,clk_b时钟域上升沿采集到signal_a并将之寄存到本时钟域的sync1。
6 }. X3 O0 N! _" U- S3 I0 g" @
1 _# d5 t2 m' e$ Z/ _- I" u: df,在clk_b时钟域,经过一个cycle,将sync1传给sync2。这时,即可采集到signal_b信号,而这个signal_b信号就是在clk_b时钟域的。* A5 a+ e7 _  u1 v# h9 ]

: m2 R) S2 u; f7 q/ pg,异步的,在clk_a时钟域,在检测到sync2有效以后的第一个下降沿,将寄存enable_a信号的signal_a清除。2 |3 M1 P. P: @* j% X% T, k& ]

5 P% E) o& g- F" |( W6 Kh,整个同步过程用了6个clk_a周期,2个clk_b周期。
; O) L& T5 I, Z8 S4 ?: D/ ^
( E9 R3 s4 U. i7 a9 n( S. f$ Y+ L. d. O9 i: C8 n, {7 y/ l& u+ q
; C% E. c6 S6 B
4,前仿验证B8 i' a8 F* @0 \1 |" |
从上面的分析,我们可以看出,采用两级触发器确实可以实现双时钟域信号的同步,但是这种方式也不是随便任何时候都能使用的,如果是慢时钟域同步快时钟域的信号,则要求快时钟域的信号产生的不能过快,否则将会丢失部分信号。
  B1 ]& ^0 V" U5 ]" ]* O, u7 I' n$ J5 U( E3 M
还是上面的sync.v,我们修改sync_tb.v中enable_a产生之后等待的时间,就会发现有丢失的情况发生。
( v: u. x1 ?. F/ C6 {) v6 V* e+ Z  f. Q+ d4 k  O
修改后的sync_tb.v:# x7 F8 z! H; S0 d, Z1 B

* e$ Q! q- t8 p/ W; M! F+ F" ?, V5 }. ?$ e! |4 z

4 W8 L$ @- s* G/*
' v. K- J. y6 i: K! S1 k7 G' r* file name                :sync_tb.v
& f0 o, S1 l7 h$ ^( B- h3 [7 Z8 T4 d- A* author                :Rill
% P- F; m) \% U* date                        :2014-04-12
- l+ A% @6 t5 o  P& k*/8 b) Z( F! o+ v0 ?$ Y: m# T
4 }- E! d6 L8 Q$ W/ D6 p$ t  U* I, u
`timescale 1ns/1ns
. A4 |2 @, }0 ]9 J: Z
/ }. I7 l' Z7 [/ V2 r8 x& V: n& W# i
module sync_tb;& a: y' Z; P( G( p* H

3 X8 M+ Q. p6 t- freg clk_a;
) q3 _% f+ A: M; h/ nreg rst_a;- @7 T; `& `! a* ], R2 z0 j
reg enable_a;1 M8 j# |4 Q7 @+ ]! e6 h
. V* M. K* n5 i- T, E. f
reg clk_b;, m  E8 ]5 S  Y6 _( o/ S6 P
reg rst_b;
9 U/ v2 O5 j: @) ~8 N% Ywire enable_b;
3 B0 |# ~" n6 C& P/ ^4 [
* u! |: Z. P! @% X7 y
) _5 N% m7 s; M2 _) z1 fparameter CLK_A_PERIOD = 10;5 R2 r8 ?7 S7 Z: B
parameter CLK_B_PERIOD = 30;# s+ m1 K' r, @9 w

+ @5 k! {/ B# r  T  ]% Y" J//gen clk_a$ `* L! u" s# Y  d6 b) O
always #(CLK_A_PERIOD/2) clk_a = ~clk_a;
! u8 x( F( O) Z+ S0 F3 B3 P* \. G3 s. n, J! P
//gen clk_b
: G, S, ^& w3 X, }3 c  aalways #(CLK_B_PERIOD/2) clk_b = ~clk_b;
- n& D$ P2 e, A( t( a! B
  U+ O4 m; o! O: y3 ?, u6 z' H: A/ ]+ x
//gen clk_a domain test pattern
+ H4 O* k1 U4 }1 r" }# r7 T9 j" uinteger m;# V/ m- P% A; U0 ^0 g

+ M) a  E+ p/ N* v1 Xinitial
! T/ U: O+ U, I$ K" i8 Wbegin
3 W4 u& ~) W( O( I        //rst
" i+ @1 L' S$ h/ V# p        #0
3 _7 p- Y7 x- m( h( N. I* [7 X        clk_a = 1'b0;& N8 n# y' n: _1 W9 c
        repeat (10) @(negedge clk_a);
4 g  G, e$ K: V. y& c        rst_a = 1'b1;' S) n$ [- G0 Z. {# B: _
        repeat (10) @(negedge clk_a);
) d  M. h6 r7 G3 r8 x1 l3 m        rst_a = 1'b0;2 t$ g0 |- K% R- Y8 R0 t) a0 J+ Q% k# D
        5 D* i+ U. m& z
        //wait clk_b domain rst done
( C" V$ p4 _4 n$ `4 \        repeat (1000) @(negedge clk_a);
  Z& y$ g1 t4 L1 l2 c% p        ! L# m) A$ [( z" G" w3 E+ g1 I. F" E
        //gen test signal) |1 t" v  H* z1 N9 [8 K" z) p0 q
        for(m=0;m<10;m=m+1)
' Q) V; I0 a) |) U: ~4 ~4 B, R$ g        begin. \5 i9 o- r1 s# F
                enable_a =1'b1;
3 e  C- ]7 Q! n. D  i                @(negedge clk_a);+ Y3 C8 I2 L4 o2 C
                enable_a =1'b0;6 v$ a4 ~. |0 a" r

0 `) w7 c$ q! |" z/ U                repeat (3) @(negedge clk_a);//modify!!!1 c$ ]2 z: G( ^: S* D5 e4 s4 f
        end
$ i( z4 V% Z* j% ?        3 H( ^- [7 i3 e. `
        repeat (1000) @(negedge clk_a);
6 E, I8 E( b9 {- r7 ~/ t        $stop;
$ J0 \8 j- K7 h# h$ j4 r2 a& m$ S       
) O3 {; B, }# }& f3 \$ F& Nend
0 ~* ]* ~" R2 x, V
* m! m# H8 n1 ]8 G4 ]& S" b2 Hinitial- k" F/ k4 ]: c6 r6 D: f( M  l8 K
begin
( C6 b/ |( W. _        //rst$ P% V1 M6 [* ?8 K9 e0 K% [" c
        #0
2 R: `$ y) y% i; l8 ^' d        clk_b = 1'b0;
4 a/ _& M# A1 n7 E! n  U        repeat (10) @(posedge clk_b);, x: S2 h# b3 q
        rst_b = 1'b1;
- i8 y. K% u( L4 [. B        repeat (10) @(posedge clk_b);
6 ]+ V  |0 ~9 z        rst_b = 1'b0;- z1 j# t5 M# f  ]" A% w
       
& {4 I. t3 N8 h# Y1 lend
8 r% f2 a+ i5 R8 F2 n8 |3 N+ b: d" p# e+ {7 m
- g7 M$ r* x1 s
sync SYNC03 G. s4 B( k  J5 ]; D/ }( [1 i
(* t! e8 A8 G: Q, ]5 t
.clk_a (clk_a),
* U9 R4 Z- D& o& Y8 R$ S9 L' M.rst_a (rst_a),7 `/ W- e$ I% o5 C% N: k: W
.enable_a (enable_a),
0 ~. b( O. b3 k
1 _; Q$ F* X7 D.clk_b (clk_b),
5 s3 ]% i# r  }9 }; t. S' r.rst_b (rst_b),
7 [: F# o- M5 v: b# c& J* ^- K  `& ?; ^.enable_b (enable_b)
6 ~- h( z+ |& R3 P$ d);
2 `3 X, I  B0 h) Z" |6 v
( Q3 y. j9 x4 n. P' R4 `* dendmodule
. m6 g1 x7 ]$ n# }' `# I" j9 ?# C
% [7 ^8 s* Q$ X! h/********* EOF *************/
) P, _: m6 G& W, H; m' O% u& R: q  a
下面是修改后的前仿波形,如下图所示:- ?( N9 G* ~2 {  k9 M4 J- _$ C
从中可以看出,clk_a时钟域一共产生了10次enab_a,但是clk_b时钟域只detect到了4次。" w' }0 ~2 F0 k* D+ e/ q
" ~- D1 \. L6 c+ e) {3 q
/ j7 k# s7 [) r2 `" E
0 M! Q4 L3 G* f9 F: \& I" s2 Q
- Z5 {3 u- A: g: Q* M! [
6 J, ^3 B$ y& g& D
5,前仿验证C( F# u. O1 w& ~9 Q; t
上面是从快时钟同步到慢时钟,如果快时钟域的信号产生频率太高的话,就会造成丢失。
9 P( J; H8 G% x" l
$ B6 B3 u; X3 Y9 x/ G那么如果是从慢时钟同步到快时钟呢?) o6 A& m& r0 i. s8 A) w) A
: b8 b9 ~. M$ b9 l4 H5 T8 Z0 f
将clk_a和clk_b的时钟周期互换,每隔一个clk_a就产生一个enable_a信号,结果如何呢?( [4 W& _# m' B5 [
8 @4 W- |+ L4 N- G
修改后的sync_tb.v:* S' a* Z+ R: G: m" S

: ^( z2 h, T3 E/ n" q) s/ m, N2 a3 G( Q2 I. Z9 E0 W. Y8 V; j: P

# M- z% z  v7 j$ a* u# m/*6 N/ I0 E0 z# U7 @
* file name                :sync_tb.v
( C- h% N- d/ b0 L7 ~6 }- d8 H* author                :Rill
! o1 ^$ V) \# R' i  N* date                        :2014-04-12
: n/ ^/ Q+ a+ h  t  p*// J9 S: `3 [  m! w. V* p' R+ l6 b; E
1 z4 C9 K! k, s8 ^
`timescale 1ns/1ns$ F* Y; k2 ^9 b- Y: h9 b  F  b# I! ?

. y, O2 `- q* Q) c4 E3 g! V: Z) E9 C' f6 ?, ]9 S
module sync_tb;
1 r5 |5 s& p/ O; M/ d) f1 I: q) i# b* d) |3 E+ H4 O* j6 U8 Y
reg clk_a;
* ?$ B1 R: b! {reg rst_a;/ A. [% z2 o8 E
reg enable_a;' N  ^) t# J: _9 D0 o2 S

2 x+ m" x: r0 a# A# sreg clk_b;
$ K" p# T4 U- p1 qreg rst_b;! y- @3 p. l* P8 S# {
wire enable_b;  X' ]' E  s% i; t* I

5 g( X, a! {$ E1 @, v; `8 l3 q! M1 ^+ ~- m8 V6 s
parameter CLK_A_PERIOD = 30;. p+ R$ ~7 m. u3 |7 O+ A* q) i
parameter CLK_B_PERIOD = 10;
3 f3 V1 O9 o- x9 P9 ]* E$ E0 d' b0 x! e4 U3 R; `3 m- b
//gen clk_a. K; B. y1 M+ E4 o9 S
always #(CLK_A_PERIOD/2) clk_a = ~clk_a;3 T; h$ b8 U6 i* r" g5 Q: G. G
2 m/ D  Y8 [( y) d. S( j8 V5 W
//gen clk_b
( K8 Q2 B4 R' p" m* v2 Xalways #(CLK_B_PERIOD/2) clk_b = ~clk_b;
0 j! _( S6 X6 V6 Z; Y0 ?  f( P# C: O) W  x( ?

  ~4 |- W7 ]$ R0 L3 ]- p7 x8 V//gen clk_a domain test pattern  W8 f2 i: p6 m& A4 ^7 V
integer m;
1 ~3 v$ D5 ]+ q% P$ G* K& p. A: J; S  A% e9 I% K
initial
/ ~" C! K3 i0 p9 h# jbegin
3 k3 Y) I/ H8 U+ J: u8 @8 b) T; @        //rst
! {6 ]/ M7 O# p        #0
, Z. ]0 q, ?' N5 [6 n3 A0 u        clk_a = 1'b0;
' |+ X' ~* Z' @* K5 P        repeat (10) @(negedge clk_a);  j$ ]( i9 d- |0 s* H7 p. S' I5 d
        rst_a = 1'b1;
" r4 W9 A  o6 _0 Z        repeat (10) @(negedge clk_a);6 P- F' Q7 n" H2 K8 s5 r6 S2 {. f
        rst_a = 1'b0;! f  |4 O5 p7 }2 M) U
        " ]3 \) R& A1 ?6 [
        //wait clk_b domain rst done
1 F+ }4 i" L4 G* B% l        repeat (1000) @(negedge clk_a);
& ~' s/ _* |" `- u        0 R0 c$ r5 w2 }* }4 i8 U3 Z: K. L
        //gen test signal# `; y; T- P3 D  Q9 W( Y5 Y
        for(m=0;m<10;m=m+1)7 H" z1 X4 f6 n1 U+ j- b5 f) f
        begin
! F- B) i, l7 a' x                enable_a =1'b1;( L+ x0 B0 P$ w. M( a
                @(negedge clk_a);
6 k3 O1 v( D- T/ ?; M' H. ^3 [# L                enable_a =1'b0;
' x& c* Y$ a6 J( R5 @8 |+ v7 D; G  W8 L
                repeat (1) @(negedge clk_a);//modify!!!
6 m' h- C$ [& V2 w- j# E        end# t* r" ]* R$ v
       
0 g! |% x5 i0 G3 W! o0 g$ b+ p+ p% w        repeat (1000) @(negedge clk_a);" K' M- {  s0 @
        $stop;/ e7 S7 ]; L4 e
        & Z) A6 ?% p- J; b
end
# I% D3 [, W$ |8 C% I/ U8 E0 O$ n. U# n6 k
initial
8 T$ p5 D& @3 ~/ ^* ~1 }, G+ Jbegin; N/ x  z5 ]/ [& Z4 m9 y+ M
        //rst
! h0 [5 P' r0 `; ^9 }- ]        #0; L7 [5 S& }7 B% P0 r
        clk_b = 1'b0;6 B- Q0 W; N7 _$ m) G9 W
        repeat (10) @(posedge clk_b);
- E+ i6 j5 Y8 q$ L! Q& K# N        rst_b = 1'b1;
0 j* P( n  ?' d; m0 O* l        repeat (10) @(posedge clk_b);
; h5 L$ @2 E1 d# y        rst_b = 1'b0;
5 g4 k3 I# N* b. L        - u* r  Q0 t2 p7 K5 O1 L
end* g  @4 d9 w' D- L+ r

* e: I2 m5 Z) k( D
! e' c  {4 z3 P, \sync SYNC0
* O6 M+ r& F; }(4 C) K4 Y; `/ q
.clk_a (clk_a),+ x: C+ b7 O( F
.rst_a (rst_a),
4 B0 i5 `- q0 u.enable_a (enable_a),, n/ q" N7 h2 i9 ~2 D
# B2 a' ^+ E8 g4 n8 f
.clk_b (clk_b),
3 }/ a( B3 {6 X.rst_b (rst_b),
( p$ ?5 }, A) E( O0 N.enable_b (enable_b)
6 E% T8 H! ^- F  O4 ]);
4 ^* c* j& {. _# B: F' T6 j4 U& \. s' N. o6 |; X  C
endmodule
& x/ O% [' d+ N
# l0 W) M' q. ?  {8 s# I, i/********* EOF *************/8 c/ r% J( w2 Z# l$ G' P

9 E; e- O5 Y3 j+ k
/ x9 L8 M; A& }& U下面是修改后的前仿波形:4 {9 u) e( n5 U2 Z9 K+ ^

" d7 p- W$ e' K. w4 S从中可以看出,即使慢时钟域每隔一个周期产生一个enable_a信号(一共10次),快时钟域也不会丢失(也检测到10次)。
, j0 Q+ t+ q3 \) I7 h+ c; U& E: A$ N6 @6 I1 c( [

8 ]* U, L) r9 ]; x7 Q+ Q) i4 d
+ D4 w& w, `& N0 c  z7 K
: |; ?! K. J5 ]1 E1 v, N' A0 x4 z" ^2 H6 ~4 g
2 N) g$ D+ o. C9 Y

& G( I( B  c2 B% z1 ^) B/ M! c  e* C+ n/ l6,小结
5 a! r2 i) u- w& u本小节我们对采用两级触发器实现双时钟域同步的问题进行了简单分析,其实除了快慢时钟的问题,还有亚稳态的问题,由于是前仿,没有延迟信息,所以看不到亚稳态情况。
2 f7 h6 W. ?2 @  H% ^4 U
: y4 q: A0 w/ a) L: @; C
9 G) y& z/ W* p3 L- _# s0 }6 }0 t6 X- {: c

: }1 R9 S2 ]# c' ~- _# W0 A0 G# I5 _; b) q2 m
; S% u; c2 \3 m" l8 h- Q! w0 M

: k) K6 |; M8 u4 s( S% i

该用户从未签到

2#
发表于 2020-6-11 14:37 | 只看该作者
关于采用两级触发器实现双时钟域信号同步方法的分析与验证
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-11-24 15:52 , Processed in 0.250000 second(s), 27 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表