|
|
EDA365欢迎您登录!
您需要 登录 才可以下载或查看,没有帐号?注册
x
引言
0 ]: y% v' E. o k) K! o. C7 M: e$ B实现双时钟域数据的交换,最常见的方法就是采用双时钟的异步fifo。但是对于单根信号线,如果仍然采用异步fifo就显得非常stupid,这时,往往通过两级触发器来实现同步。9 g2 Z2 p/ P: K4 ~8 c$ W6 ^
9 @+ j1 q+ R$ W- \/ |: ]4 b; R' {
那么,两级触发器是如何实现同步的呢?本小节就做一个简单的分析和验证。
& U4 A' o0 S/ A! S. G: X, D) v' N a" r: r/ d+ o: J' ^% q2 ^0 Q
2 R* P# M7 q, {' A* h6 B1,一段代码) I5 s0 ^ p8 H H8 {6 }
9 R2 X2 H8 u( J下面是我刚刚从ORPSoC的工程里看到的一段代码:( b& `! ~5 ~0 q, x6 C
, W% L% q. l* M2 k( D
( @( c, D0 ~5 o% n) s//'ddr2_writeback_done':generate in ddr2_if_clk domain
4 m% b6 O% T7 T% |/ X1 k: ialways @(negedge ddr2_if_clk)
! Y/ S9 q( o; \9 [* P if (ddr2_rst)# [0 e# h. f9 p+ k! [( n
ddr2_writeback_done <= 0;
8 M" U7 W# f" J8 b# E6 @9 T else if (ddr2_writeback_done_wb_sync2)0 e) Z$ B/ l: Z2 ?# ~
ddr2_writeback_done <= 0;; {5 N5 I7 D; R% K+ o
else if (ddr2_write_state_shr[6])
6 _6 }4 R3 t2 p- J ddr2_writeback_done <= 1;' ?% z/ ?8 k. r* I/ D- D) Y
$ F0 u# {' u/ f6 }' W. w: L3 P( j//'ddr2_writeback_done' sync to 'wb_writeback_done' in wb_clk domain) e- r2 l! I0 t! C2 E
always @(posedge wb_clk)3 N) H$ ]) C$ j% P w
if (wb_rst) L4 o+ O6 p O; l3 E
begin( m0 p8 R3 N6 o! T0 F B( ~
wb_writeback_done_sync <= 0;0 | ~- x- y w+ A7 |7 {- V
wb_writeback_done_sync2 <= 0;
M- t& u! l' x4 l5 s# X end
1 G6 P! T2 f$ Y* ]( f/ ? else
& z6 g a8 C% [' ~' b. u7 ~ begin5 w3 W. _, X1 @, g4 ^. T ?2 G Y
wb_writeback_done_sync <= ddr2_writeback_done;
4 D3 X+ f! `$ z7 O$ h: q- s wb_writeback_done_sync2 <= wb_writeback_done_sync;
: j3 I# T0 R j: c9 n end; r6 U; ^1 [; J
* M+ c! p2 w7 s1 R' e9 W' M/ oassign wb_writeback_done = !wb_writeback_done_sync2 & wb_writeback_done_sync;
* Z" C; F: `6 g7 E. f6 \$ h
# i$ M! d7 R' k6 b7 ^( c( |4 R
5 U e8 T! ^1 Y" j; l- n//use 'wb_writeback_done' in wb_clk domain+ `$ C6 N( K. [8 y$ S4 z' h h; y" L" x
always @(posedge wb_clk)
1 C! R+ t3 Y* m1 l4 H if (wb_rst)
$ R: H( ]; H" f, I7 \; q8 C6 u do_writeback <= 0;
9 F6 j1 S- A( t' {: J( L else if (wb_writeback_done)4 [( t( D& S: p
do_writeback <= 0;, g1 E! O# E8 p% f+ L! T0 R
else if (start_writeback)( m5 ^3 K% V! d# Y
do_writeback <= 1;
( c2 M& m; x( B1 P4 h$ M. f
6 l4 A9 s: r/ n2 M3 U) S, m7 ]- y 7 ^8 p6 T! F F; e5 ]3 {* n
( v# ]/ e' ~/ C' \, n
% H! X( R0 d& R1 l7 J. B( S$ d' R6 G
( D7 d6 f. q: f" _% v
这段代码就可以实现两个时钟域(wb_clk和ddr2_if_clk)间ddr2_writeback_done信号的同步。
5 b/ @/ T% v4 p) X& `. t0 c1 h' b* W( T6 A
+ s! _9 S6 `+ k. \6 h r
. n& v& [1 c& R5 U# c2,编写test case
2 Q7 a+ ~- v6 d* x6 g. X! o7 {为了更清晰的展示其具体的同步过程,我写了一个简单的test case。
, H6 l6 D# c& Z* {/ l8 Y
|' }6 W$ P" q: na,可综合的sync.v:; Y8 L" [/ H/ T0 r7 _/ X: Q; S
( G; v( K* W7 ~* a, m* Y9 K$ \; f, |$ m
! j' k o% i3 o6 f2 C% V& v
/*
& t e( ^6 f4 k+ b" a+ u8 z5 O* file name :sync.v
* Y( M" V. E( Y# {& P+ u* author :Rill* i D. }" r- P1 E
* date :2014-04-12
% s6 l0 M% p* E9 B" Y; L*/: D( l- j' ?0 y/ Q+ ?. I
0 x/ m0 q; g4 }/ g4 o0 o4 s
) Q4 O5 y) ?8 F3 t4 m; k9 R3 ^
module sync
! l$ b) k; x( [8 [! c(0 ?- ?9 w- U$ z
input clk_a," N/ s+ n9 w' U+ b7 c
input rst_a,) g& q: y) U# P5 ^- S
input enable_a," u6 O s! k7 [ @) I
/ P2 c; i ~, {, H% j7 V
input clk_b,
0 { @/ ?4 X3 f) h" |input rst_b,
7 m4 {$ ^; \) D& d! Uoutput enable_b- |! T7 c) x2 b5 l [: B
);' B! O- G5 e' X L4 p1 m
6 B6 w" _# z i2 o- O4 L Vreg signal_a;
+ a* k5 W: n2 Q( u* a& s1 ~9 l0 T4 Z7 w: q! A$ Z- _
reg sync1;
# |% H+ g# O4 e/ d5 r# Areg sync2;
, r1 N4 \5 D6 {% [ d7 J/ T0 e8 u* S# W
wire signal_b;
: x8 a" _, y+ Vreg enable;
2 c; {6 i- \( N& V: a7 k% R1 ]! ^6 Y' M0 R! D& P
assign enable_b = enable;
( v j( ~. Q0 F6 b4 `1 M" F6 a! J' U) G4 }
//'signal_a':generated in clk_a domain" w& |1 o9 Z, |1 x* }/ A7 Q2 j$ [
always @(negedge clk_a)
* U2 b* J& G8 u7 fbegin
?6 b( D8 Z: b7 E. e8 N; ` if (rst_a)
- j5 S* z7 h! K: c- L* p V signal_a <= 0;
% T- c i4 \& |( j. ~ else if (sync2)
9 ~0 t B; L4 ?" z ?' _/ d1 g0 M signal_a <= 0;
$ t7 @* @6 v) _& E4 @ G8 I3 v% s else if (enable_a)2 g3 g- U( _) }7 H' K: m* X- R
signal_a <= 1;
( I; ^4 x4 N4 w- @9 \7 Fend/ _$ g9 E K0 N
//'signal_a' sync to 'signal_b' in wb_clk domain" f! L% C8 H4 y" P; w( l" N
always @(posedge clk_b)$ C1 D: e$ T& x. b
begin
5 b; R [, N2 m" C* ^* I if (rst_b)+ t7 h+ _* W+ z. T: I
begin3 {4 l1 j0 z+ C5 f
sync1 <= 0;, D( t! ~ X" e. O5 ~7 s2 m3 C
sync2 <= 0;+ P+ |8 a! w8 O$ O! U
end
8 G4 l }& \ T else
- `0 w& `+ }# B, A, c! W begin
% M, R' p! M8 n0 F$ O sync1 <= signal_a;
" ^0 C+ H- b) g1 V+ m- H sync2 <= sync1;
% x: A J- ~2 E end
3 A8 p, T" g2 m" ]5 Uend
4 c! ?" j* d" T- |' o K( F8 i; ~6 o" ^! b/ }9 n
assign signal_b = !sync2 & sync1;
# z3 d8 X: m9 W0 t. m8 `6 g% Y) P( A) Q$ X& T4 {9 m
7 H6 n( G. q' u) \8 I4 L//use 'signal_b' in wb_clk domain
0 ~: q& ~$ T( B- N5 Ralways @(posedge clk_b)/ o7 V, @* Z9 I# A1 }
begin
5 x3 Q/ W9 d0 T9 c6 c8 J( J) R4 s if (rst_b)+ R* y. k1 g& Y5 _! M% _4 ]! l
enable <= 1'b0;
/ j3 S( R. O$ j& y- a1 V7 X5 S. ^ else if (signal_b)
, ?& e, e" w) J3 k! S; h9 a enable <= 1'b1;3 J+ z0 L1 U. |$ H( n# n
else
3 U o: M* v) \% p% d enable <= 1'b0;: r* g+ j! x7 V- I5 ? }3 V
end
0 Z) w6 x; Q& p M' T
/ h! Q, n) V3 V& E0 l- j# {* X. c3 Z1 p) W# X- \
endmodule
( a7 e5 ^% _$ g* Y2 I " C; N6 ] ]0 S$ x0 W4 s+ r! c
/********* EOF *************/, h1 e5 N: G( ~ A/ g4 w! f
9 W n3 |: z, J% h8 o: c. b5 @" s3 G! O$ v- E# Q
b,不可综合的sync_tb.v:
! l9 T: U- u1 F7 \3 M
$ i! M) s, t% U/ E. b" H) A; d, Y1 r) E
8 n9 o" ]" t" b# l/*
3 G! X T; g. o8 ]* file name :sync_tb.v
) ?# x' T1 i7 g; \: C2 Z* author :Rill
5 X" z# V7 T2 p; j) V* date :2014-04-12+ K7 V; o: A! T: O2 \. ?2 t
*/; s& ?) l3 v" a6 B/ D; S
. ]- J3 A8 i; K% }0 Z
`timescale 1ns/1ns
; s7 Q1 k$ k" r; `- X2 Y
* K$ i0 Z7 R& V! P' i% P8 h2 ?8 ~2 A* O! i3 R( ?: G! s3 U
module sync_tb;
& _' w# a$ M" l5 ?, F" B3 z( I
) d0 I9 m. B- E& M% N: ereg clk_a;
9 H6 B. ]; r* A. H9 Qreg rst_a;( r& N4 a6 o0 J. c, D+ E
reg enable_a;
1 n2 J- b. ~8 Z+ Y# S* l0 J
7 I T: S% r$ Z3 k+ oreg clk_b; w, t$ q. w6 ?/ a
reg rst_b;
* w4 w/ T; E% O2 T8 ewire enable_b;
. P. A* L( c" G; F% M, v, s2 p3 P8 g% a
' I1 `+ H" V0 T# c" J1 w' [, q! U7 I
0 y6 J) T7 n& V J7 Q$ {9 v$ O: X# Zparameter CLK_A_PERIOD = 10;% r0 ~1 B& e" r$ U
parameter CLK_B_PERIOD = 30;5 v6 s, I1 G4 Y9 T2 {/ e6 L) \! ~
3 v1 ~, S8 h5 |9 ]; _# p8 U, _. D
//gen clk_a
3 N0 U. c% a( r0 o7 X: j* r- @* Zalways #(CLK_A_PERIOD/2) clk_a = ~clk_a;
* M/ }1 j) I# @# m
: N, v1 B4 _& x9 B5 s' H+ i//gen clk_b- q+ s) ?0 i8 r$ h; k0 e7 c5 [
always #(CLK_B_PERIOD/2) clk_b = ~clk_b;
' U! m' X3 F* X+ `1 J) ?# s6 D- f( d( W/ U b0 y' {
3 t8 M6 j! t8 q# P! \% c* q& K//gen clk_a domain test pattern
7 ]0 W! X8 T* K$ Y1 sinteger m;
2 Z4 _. d+ c+ |7 n1 c3 M/ \1 t
5 R- d; N5 q1 Minitial0 }8 M2 C6 H2 B6 [
begin
6 J- @3 Z* ^! M% w6 ^- t+ M/ | //rst
, V7 N# ?; k. h #0
+ q; r2 U7 U7 n0 Z8 E8 M! I clk_a = 1'b0;% S" D$ |% d- f1 I1 j7 V8 m [
repeat (10) @(negedge clk_a);
! b6 ]7 | T4 e rst_a = 1'b1;
5 {+ ]* o; L* |1 g2 J repeat (10) @(negedge clk_a);2 t: O/ D. u6 }* m1 _9 q7 |
rst_a = 1'b0;7 j2 w+ [ v9 s& B4 d7 r) e
: E7 c. h) d( W/ z
//wait clk_b domain rst done
+ u4 U, ?1 v: n repeat (1000) @(negedge clk_a);
1 g- ?" i# ^2 F, @4 g
' T( [& d* Z. \& }7 R; M //gen test signal
& o- z R# q( L# H0 X, y/ m$ y* m for(m=0;m<10;m=m+1)2 B! ^; l+ B! b" m) K% e# B0 I
begin
9 s4 G q {' o# }) x" a enable_a =1'b1;- [' \3 ^, ~) e, l x, @
@(negedge clk_a);
$ T3 d3 u: J8 s+ M9 K7 z, @$ l$ g enable_a =1'b0;, }5 F/ I5 Q; [* y k" ]
: \4 v ^9 P# C/ h repeat (100) @(negedge clk_a);3 }" O# C) e0 `/ k
end
, m9 b* Q7 [- I# G& b9 I. S! i
" \# T) |5 P# b- V3 y# O repeat (1000) @(negedge clk_a);" @* h/ {3 ?; C
$stop;
; u" Q$ e+ ~# N ' a, z7 E% F; o/ N
end8 q" l: v7 A3 v6 d
) \( |" @; H7 O3 G. Z
initial) v" ^# |- i) C. o+ }5 E6 Z
begin- x G O( @, T5 p* g
//rst
2 p- `- J4 s0 n6 X8 H: K# F #0
0 f4 L/ W# [2 A clk_b = 1'b0;$ V; r2 h; h9 @& {$ Q5 ]
repeat (10) @(posedge clk_b);5 T1 v* g1 i7 I$ m6 I8 i: G! U- l
rst_b = 1'b1;
' H# E& x0 x4 u9 D; O repeat (10) @(posedge clk_b);
+ }6 a+ l$ n' g g rst_b = 1'b0;
2 g5 e Q2 A" o$ A/ J5 L8 m
) `2 ~5 J& F! o, V( ?0 uend
; U" n" a3 ^7 b" g" x [5 v# m8 p5 c W
, A2 g6 g' M( w8 V4 K/ \sync SYNC0
5 p; Y* ]5 W0 P- D! z# I3 F+ b(( L. {+ n9 e- P" I) M# Y
.clk_a (clk_a),$ R- l; k2 H0 t
.rst_a (rst_a),! h% D6 G) K/ S; \2 F, l& K: b8 y X
.enable_a (enable_a),
' N& l- | d# U7 y( p' J" i. w
7 P- A i0 i7 m y, R& S4 m- O7 u: ?.clk_b (clk_b),
& H8 n- F/ Y$ T; K: t.rst_b (rst_b),$ N$ |7 T8 S+ @0 I- S9 ? M
.enable_b (enable_b)3 F5 [4 E0 d9 x! _
);
5 E3 R7 G" U& K; N4 f/ Y: w6 Y' ~1 e. l6 x3 k8 q
endmodule
( C) f9 w0 p' A+ G2 N
- H9 o& s. _2 W: D$ i/********* EOF *************/
5 W; ^6 t5 ?3 `- [; g( R, |' @; k6 \3 V0 [
6 F8 W) r6 Z4 Y h$ M" Y9 Z$ C' c( J5 {1 O
, s* `- Z+ s4 R- L5 N b/ ]; `+ q
3,前仿验证A
& _; S2 N8 S2 C9 }- Y% n下面是前仿的波形:
" F; p! M' _2 Z i' H
+ f! s6 N$ _( e+ j" X) d
$ D x2 y. Q- ]/ u' j& q; X8 V7 B4 o8 K
: _4 j, J, D( k
" E2 u8 \6 w0 w% e从中可以看出:: i8 g: K4 v% B! M" l
" l" Z: ]4 x/ o" b% w9 o. o
a,clk_a是下降沿触发,clk_b是上升沿触发。
+ E5 o$ d/ A4 N( x
O9 N6 b7 {. d. T4 `' a' Cb,先看m信号上面的波形:
6 _4 ?8 V: P6 h9 h( _9 ]5 F4 v5 Y& _1 W6 @' f; ?6 K' \9 F
clk_a时钟域产生了一个enable_a信号,这个信号被clk_b时钟域detect到了(enable_b信号)。" R5 z* u+ ~8 E2 K5 e; t
/ w" B3 W4 l! r
enable_a信号是clk_a时钟域下降沿同步的。enable_b信号是clk_b时钟域上升沿同步的。
+ |+ P2 I$ `4 V- a: _9 y9 |3 D6 L+ Y G+ i2 k7 N7 R
那么具体是如何实现同步的呢?我们再看m信号下面的波形。
2 |" W; t5 _- ^3 a$ L! }% U% L5 A, [
c,首先clk_a时钟域下降沿产生一个周期enable_a信号。! H1 T. I5 R$ f& J$ U6 @- D4 r
7 T+ Y' y4 A: C$ J/ p, }7 Q }6 c
d,这个信号寄存到和他同一时钟域的signal_a。
; J# w" q( w% W- O! M
8 |+ O0 @( [0 w! Z* r1 j* H0 \e,异步的,clk_b时钟域上升沿采集到signal_a并将之寄存到本时钟域的sync1。3 ^) G( b$ |# {3 M1 m' W. t; Q
$ q7 o5 Q' t! jf,在clk_b时钟域,经过一个cycle,将sync1传给sync2。这时,即可采集到signal_b信号,而这个signal_b信号就是在clk_b时钟域的。
' \: ^- [- Y( Y! H: {1 x
, r' ^" f( x+ H2 k1 Q Z& Yg,异步的,在clk_a时钟域,在检测到sync2有效以后的第一个下降沿,将寄存enable_a信号的signal_a清除。
/ `- S1 R Q k0 m
# U$ {0 z5 U5 [' L4 }4 }$ b* Ph,整个同步过程用了6个clk_a周期,2个clk_b周期。' P: C$ G, [% [; `
6 z/ R/ n0 D+ @# a1 V. v7 t
1 g3 [. G6 v1 k5 s. j5 M- N$ W8 I& P
4,前仿验证B; Y" P7 \7 ]9 y( {6 d2 s. a0 @- s( U
从上面的分析,我们可以看出,采用两级触发器确实可以实现双时钟域信号的同步,但是这种方式也不是随便任何时候都能使用的,如果是慢时钟域同步快时钟域的信号,则要求快时钟域的信号产生的不能过快,否则将会丢失部分信号。
0 n+ P, H( N& e( b# i3 v
c8 K$ j; i1 T) P3 x3 G" a还是上面的sync.v,我们修改sync_tb.v中enable_a产生之后等待的时间,就会发现有丢失的情况发生。3 b" w' y3 I# o# S
, F% h$ m, I, u' ~6 \4 {" ~修改后的sync_tb.v:' s. I4 y! L+ b D
6 G! m9 D0 x$ @$ N! D. _2 V8 ~( h" h- a- ]1 v# s
7 m0 z; X! ~5 t+ w4 e" }$ p' z. x/*7 ^5 m) J' l5 A4 ^2 Q7 j
* file name :sync_tb.v
5 ?& v. `' ~0 |7 G8 |6 x* author :Rill; x5 I6 X4 [" |
* date :2014-04-12
6 [6 ~ L: ?/ ~. P/ M6 c. {*/
4 f( {1 }+ V& U. B( i% s/ T% X0 c6 p4 F3 ^) l! { @
`timescale 1ns/1ns
* H5 \6 c3 U ~. e8 o
5 M, S- \* n; L! t3 A% i
+ v! C# O. |& h1 R1 Jmodule sync_tb;
; }; ?& O0 }" W8 H. d9 S9 Q7 k- E: j
reg clk_a;
& t8 b; c" N8 O1 ] V: ireg rst_a;3 O1 y9 d0 [; `. |' \
reg enable_a;* G5 ~3 X; `2 ?7 ?+ D' n$ _) c- G
' p- ~- T9 `7 E. Q
reg clk_b;
0 O- F7 \0 o* o1 y' Mreg rst_b;
$ ]; D+ y0 E4 r5 t4 Jwire enable_b;8 J( t. I5 U5 }# ]4 d
! k, d) M9 r- A8 n& f8 ~( c) m' P3 w N- ^9 Q* Q9 [6 G. u
parameter CLK_A_PERIOD = 10;
) a3 O2 i$ |: _: ]: S1 z5 b1 Kparameter CLK_B_PERIOD = 30;8 A% q3 s; J5 h4 e- p* n
& P+ x5 ]% v$ k//gen clk_a
6 z; j+ z& n0 N; Y/ [" y8 F3 ralways #(CLK_A_PERIOD/2) clk_a = ~clk_a;
9 m( g2 o- F9 W7 a/ i
! g* O- Z& f S1 @/ z//gen clk_b0 ^' L3 z3 c+ A4 T9 O0 } k
always #(CLK_B_PERIOD/2) clk_b = ~clk_b;/ {+ B, U% x' [% C% N$ d
+ \4 n- ?; Z( X6 a: Y
# x) V3 G( Y. [9 ^: l
//gen clk_a domain test pattern
2 n7 \/ Z9 y* z" U. einteger m;
# J% s: k9 U& w! A- f1 I, P7 {2 e- B& e, h: Y/ x; D$ ?
initial v- m5 d; |' v# M' x6 ?8 O' G- h
begin X4 V7 j$ O7 c
//rst
# E( [$ j- {8 ^% s) G n2 m3 ? #08 g# y; ?( [8 b* N7 T
clk_a = 1'b0;
6 Y. Q; h. a7 I I% I% k repeat (10) @(negedge clk_a);
3 |# [) b9 b" Z& W rst_a = 1'b1;# i. v6 Q' W2 u( u
repeat (10) @(negedge clk_a);
" j& ^7 p: f# n% u" w# T6 J6 I6 l) \ rst_a = 1'b0;
( ~0 x" j2 i; F1 B 5 \, l6 f# [% K1 F
//wait clk_b domain rst done0 I& F; `% A2 f; O8 h
repeat (1000) @(negedge clk_a);
7 F3 i8 [2 ~3 w1 C. a, b
9 E S) A( K! E- g* I //gen test signal, a8 p; R; d+ w d4 `3 E& H0 @
for(m=0;m<10;m=m+1)
6 A }$ ~1 T; T: ?; W+ I, x8 Y, } begin% Z# v3 R0 f# p# f& V" Y
enable_a =1'b1;# `: b, E4 E4 J4 h& U0 ]: W, g: ^4 U
@(negedge clk_a);7 \2 p' F! E2 Q9 a9 F! n
enable_a =1'b0;6 j& f6 a/ R0 W8 V1 c+ ]$ K3 S9 ?6 f
$ ^' |. E: A E1 ~+ T repeat (3) @(negedge clk_a);//modify!!!
& s$ t( \( f5 D! c% B end" t% z% ]2 p# R% F( L, a" L) l0 n8 e+ q
: j) S, S& ]7 m: `: W repeat (1000) @(negedge clk_a);: Y; Z/ }. L4 n2 a1 j2 Y
$stop;
0 H X# {. @: u7 u* k
- D( R9 _2 B. a, Mend0 a, B6 [4 x9 ?8 j( m
, z/ h9 C: x, ginitial
~5 `5 _) F9 j7 I4 ?* Pbegin& I, W" Q+ s" m7 f7 y/ B: v
//rst
9 R N! n6 ?& | #0
. [4 p( W7 A: e; k/ q clk_b = 1'b0;
' p' i* x8 h3 ~* U, r: l; L repeat (10) @(posedge clk_b);2 q( L* r* O7 H0 s) P: S' U' `! _2 |. q
rst_b = 1'b1;. C& G. R& `) G0 L( s1 p
repeat (10) @(posedge clk_b);
9 A: J$ e' v7 L9 i/ a rst_b = 1'b0;- N# V8 [9 @8 ?9 e* [9 F+ m
! Q, {+ F7 h) _, M
end
, i5 y0 G( k V' Q w
8 q9 r$ K& q6 _
5 ]5 a" P' q# [$ Csync SYNC0* H0 j3 n% e; u0 T. d
(2 _/ o! B5 O% Y7 r) f* Y
.clk_a (clk_a),
. ^5 h2 o' Z( i& N8 @, b.rst_a (rst_a),
" A# n2 H2 E' k8 r.enable_a (enable_a),3 L* W* C+ n k& S8 F; O. D" l
6 N% `% `2 ?, n' S7 w
.clk_b (clk_b),9 \/ T- W! W* ~$ A- K+ B0 u
.rst_b (rst_b),
% M9 a4 w- _* x8 w/ T1 e. n7 f.enable_b (enable_b)
+ G7 Z3 S9 H* U5 |" k( A);+ [# P( o" }" K
5 E7 T# t: [5 ~
endmodule& {- R' R/ P% x8 P! ]7 e4 a, H
" i' X; ]2 {6 L, ]
/********* EOF *************/$ {$ x" x1 s W5 L
4 ]/ E7 S: `2 Z1 B6 E0 ^1 A下面是修改后的前仿波形,如下图所示:
Y. O2 {' N$ H8 w0 o" i从中可以看出,clk_a时钟域一共产生了10次enab_a,但是clk_b时钟域只detect到了4次。/ n& `2 G1 R! f- G% y8 ~
6 Q( K3 n0 @: P+ h- W
" a, m3 `6 [8 u1 r1 x
) z* ]+ B7 u* x) V: j K
- X- k4 A) \1 B! `# Z' |" m
+ Z$ b z: c4 g6 |4 T2 S- W9 q5,前仿验证C# P* R Y8 l, d+ c0 M/ K7 L4 ?
上面是从快时钟同步到慢时钟,如果快时钟域的信号产生频率太高的话,就会造成丢失。 ~9 h% g L) h( B: }9 d* g& N3 A! Q
1 P5 v) G Y+ y) C5 p
那么如果是从慢时钟同步到快时钟呢?0 q5 @& @. P' o6 t
) ^6 ?' E4 Y5 s# ~将clk_a和clk_b的时钟周期互换,每隔一个clk_a就产生一个enable_a信号,结果如何呢?6 p) L0 C) U0 p2 S
. C# q$ x; w3 z1 P
修改后的sync_tb.v:% a5 h+ m" Q6 h7 \9 N/ X1 ^
7 N. I5 F( j* j
7 I A4 R% O/ e! D( T
R p/ @0 m% l1 n/*% ~5 M) P5 W8 Y+ N6 y
* file name :sync_tb.v
& F# h) W+ l6 k- R, a( K2 G6 I3 a* author :Rill+ p4 f( B' Z9 [; c8 [; |* p
* date :2014-04-12
0 a, A* ~* I% G3 R+ Z; t*/
1 k9 Y- V3 m) ]" P' b# w
1 v+ q4 @+ S R: |, G, |3 A`timescale 1ns/1ns# c+ C) r: p9 v# u J' O. ?# h* q
0 Q2 Q- s' }5 v: P0 \
! }% Y* O+ i; `$ K, n `, d9 Fmodule sync_tb;
, o6 w, ~8 n8 b, c+ l# i
1 L! Q5 M# q" g8 ]4 T; d- Zreg clk_a; w4 m1 e$ u; d9 ]) t( z
reg rst_a;, A* H6 B, x+ o# u$ c
reg enable_a;
1 @/ Q$ y8 J Q* s* Z( N5 S$ ]1 L8 b; @/ w* a Y* `
reg clk_b;" h" J5 b2 v: A! K7 `) c3 ~
reg rst_b;
' y6 N; M- f( [( O5 E0 Bwire enable_b;
1 I& q R% B( O- a+ t7 Y5 t& e2 Q1 `- [( l9 T! j: `7 k
7 ^0 k& m- A: A" ~+ }, h/ a. jparameter CLK_A_PERIOD = 30;
# H- x8 \' f& h' F. V9 \0 }6 Yparameter CLK_B_PERIOD = 10;- r) l' t0 u! o
M0 h' D4 s0 H2 g//gen clk_a
# ~; s8 y& S t# s: ^4 O1 w9 valways #(CLK_A_PERIOD/2) clk_a = ~clk_a;
& s- E6 l2 h! z# w. }9 K, b8 X
+ f; o4 u" m# a# R9 O3 \9 M1 q//gen clk_b* [' E' }; r% m8 X/ M: k: d
always #(CLK_B_PERIOD/2) clk_b = ~clk_b;5 L1 B% V5 a: \3 t5 r( ]
+ u. [: }" Q$ v2 c
- H0 k/ F6 ]3 ~& Y% q6 ^
//gen clk_a domain test pattern
9 O Z+ [& x) L5 R* D+ {integer m;' ^+ a, R9 K$ Y1 G
3 m/ u+ o8 L: e# u5 T
initial
+ H, s7 Y8 c7 b; ?" sbegin/ c( S+ S$ }0 M9 e
//rst
: D6 O& i7 X' g% a0 W #0, Z& G- ?% t* }: ?% ^! `
clk_a = 1'b0;
8 W/ _1 P: ]6 i1 b. G! x repeat (10) @(negedge clk_a);
% p! z2 Z* u7 \" J0 d+ ` rst_a = 1'b1;9 W4 _" ~0 X' s! ]' j' D
repeat (10) @(negedge clk_a);4 L7 @* @/ z/ u/ N& F+ ]
rst_a = 1'b0;
9 `- ~1 H& a# Z, B, n! r x$ j0 x" ] $ Z( J% s$ l2 G. S0 _
//wait clk_b domain rst done, h) i( z$ i9 P2 C0 w/ ?, y1 x
repeat (1000) @(negedge clk_a);
) d2 [1 r. @; n/ R : d. R- u: _7 s
//gen test signal
% ?( e- M- S) Z6 I: b5 S: E for(m=0;m<10;m=m+1)8 b8 @6 e6 q: s; @
begin9 j# U2 b8 t" x7 [6 H4 G6 t5 d0 F
enable_a =1'b1;) v4 W4 ~- H! U* y) i
@(negedge clk_a);& h7 S& h5 H# X! s) T
enable_a =1'b0;; F. u; y: ^9 u7 E5 r9 I
6 S! W; d: U: J/ \, {; K- a repeat (1) @(negedge clk_a);//modify!!!
( R" h" M2 I$ c. C4 r- U end N x6 G' u" N% |& C# ]
7 H' l* ]: |8 F5 C% e
repeat (1000) @(negedge clk_a);
7 w7 i0 e4 |0 r; {+ C; M $stop;
/ c5 a# M: C6 ? 4 B: [ h* ?% t6 F% _8 S
end; Y, n8 P6 n" J6 w9 W( {# _/ |* P
; ^1 z' k0 J3 ~- I" W) } [initial
+ y( R6 h3 U$ a7 f( Cbegin! t' S' V$ G* Q( U- s0 |
//rst0 ~* u3 ?" j! i, w
#0
' M9 ]3 L+ U3 }9 i+ g4 q clk_b = 1'b0;
3 ]# m* ~7 q- M3 x& ? g repeat (10) @(posedge clk_b);( r9 r; j I0 ~$ A& e& y
rst_b = 1'b1;
& N5 b6 r# A& Z# Z: J repeat (10) @(posedge clk_b);
) Y- A3 X# n Q) ]1 i& r rst_b = 1'b0;" E1 r+ p3 ]# B( C3 c" j
0 t/ J1 @: Z2 m0 F- o
end6 T: L( ]5 A3 P) S4 [! i/ [' `4 y
2 |& ^$ |9 V2 Y: ]5 s# b( ?% o6 {) y' g( J$ Q% c
sync SYNC0
4 ^& z+ |! r b7 _. G! ?4 U+ k(8 [3 X4 `4 A+ B0 \
.clk_a (clk_a),. O% r Q) s' t% V' }( `( U
.rst_a (rst_a),
; M4 Y% n- ^& R8 U1 S.enable_a (enable_a),
6 ]- b' o/ l8 ^- x4 \ D6 h- ]6 r% Y( A3 k6 x
.clk_b (clk_b),. A5 T. ~1 O+ Y* W
.rst_b (rst_b),
5 ?4 G, g* H+ W3 Z7 @! K! C.enable_b (enable_b)2 |5 p3 u2 r9 j
);- ~) Y7 q$ X! n2 I, g1 n
3 N9 t) e# _5 ~; v7 n+ @endmodule9 ^/ l. e* Q, \% D" Q
2 ~" D, v3 e% Q/********* EOF *************/3 A; i. `% e( X- g, p
' a9 }5 O) K _- d; ~9 g! V
# g6 F- z; S& b$ u8 g* K
下面是修改后的前仿波形:. U# p/ `( N' g5 o9 E, \) R# M$ ~
2 b* `8 |; g0 L r' e
从中可以看出,即使慢时钟域每隔一个周期产生一个enable_a信号(一共10次),快时钟域也不会丢失(也检测到10次)。
2 [& W7 Q5 U+ U5 m! \, r
) |" g# n# ~$ A& I4 E; i1 L: l7 N( E! y {, X
- \; \5 m2 @5 s# u( O( p! U/ S' J. _ P ?# L2 j
9 U+ b/ K5 B$ [$ R' ? N- n. s
1 n. P) X3 \4 v0 c3 V6 k' k: @7 d
' i1 b6 e2 d. m' H( L; x+ m' |) T5 \6,小结, I3 f; `8 X$ I9 U6 W9 {. E2 R
本小节我们对采用两级触发器实现双时钟域同步的问题进行了简单分析,其实除了快慢时钟的问题,还有亚稳态的问题,由于是前仿,没有延迟信息,所以看不到亚稳态情况。
' x( V, m* [" \$ } X! p) ?% i c8 D4 P# `& K8 t
. t/ g/ L: d0 T4 X( K: i$ M* {0 W% f! d8 P8 {
( O2 N( J% }' X/ I
% k9 }- K/ D% ^0 i. Z$ _/ ]# p* n3 p$ A6 P& p* |. F: w8 A. v
! {+ E; V2 R: H+ a |
|