找回密码
 注册
关于网站域名变更的通知
查看: 381|回复: 1
打印 上一主题 下一主题

关于采用两级触发器实现双时钟域信号同步方法的分析与验证

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2020-6-11 13:26 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
引言
5 o: p" X) N0 S  C实现双时钟域数据的交换,最常见的方法就是采用双时钟的异步fifo。但是对于单根信号线,如果仍然采用异步fifo就显得非常stupid,这时,往往通过两级触发器来实现同步。: d, u5 X  |' x
5 L# ~$ ~3 ~( V& R. S# k
那么,两级触发器是如何实现同步的呢?本小节就做一个简单的分析和验证。" v) J4 `! g8 i8 T& X2 e/ s/ v
& E' l: e8 P* o: _6 K& s
0 g( _9 y4 W" Y, K6 g
1,一段代码: L- B% ?- \9 S1 _, X$ ?

1 A7 ]4 F- {4 k. r& E$ h下面是我刚刚从ORPSoC的工程里看到的一段代码:
6 a, C6 A! C! {; s3 r2 s: s) a, @6 f7 x7 v1 b" C* B

: M6 ^6 r1 T' N+ ]9 {) N//'ddr2_writeback_done':generate in ddr2_if_clk domain
* y7 {& i9 w& Y* O- o- R0 C5 Yalways @(negedge ddr2_if_clk)
: ?- F/ r( e) l+ {$ Z/ \        if (ddr2_rst)
/ U% m7 O. P% J/ k/ C                ddr2_writeback_done <= 0;$ f. v9 \, H6 e
        else if (ddr2_writeback_done_wb_sync2)% p6 o+ g# m; ?" }: O7 J
                ddr2_writeback_done <= 0;! D+ w0 ]8 _) G; W6 `: O+ {6 n! J
        else if (ddr2_write_state_shr[6]), C) F6 M7 k3 |  K4 k( [
                ddr2_writeback_done <= 1;, F) p' K2 V: K6 S$ K
5 V$ C4 a0 y( ?; f
//'ddr2_writeback_done' sync to 'wb_writeback_done' in wb_clk domain( _. v5 \* a/ n& p$ [  }
always @(posedge wb_clk)
3 p, K' P) W2 m" _& K        if (wb_rst)
  |# K. U4 `9 k3 H& w! e( u( |                begin) u5 Y( [& B( H
                        wb_writeback_done_sync <= 0;
8 {& d* ]4 w( e9 J+ P0 G                        wb_writeback_done_sync2 <= 0;
5 i" [( m- L# `+ k                end
: F1 y& U2 a' ?  w2 f6 D     else
5 v. m. u) O2 O                begin* J' v: q; ?, m8 m' d
                        wb_writeback_done_sync <= ddr2_writeback_done;
$ `5 E' S' N- e. i+ K                        wb_writeback_done_sync2 <= wb_writeback_done_sync;6 F1 w$ [# ~/ w2 D7 V
                end
" j. }  D( Y. Q1 R- N3 b! I5 d4 g1 Y$ H. b! \: j4 n- I
assign wb_writeback_done = !wb_writeback_done_sync2 & wb_writeback_done_sync;
5 p! `: i% Z! e1 }; b* |8 Z0 G8 h: K6 U  ~  ^! w& S% K9 _4 L

" O' S- f4 Z' I4 Q0 |. B# Y//use 'wb_writeback_done' in wb_clk domain
9 u2 O+ [+ o  R% L* B- T! S; U/ falways @(posedge wb_clk)) X9 i) N$ `% E1 Q! E& m
        if (wb_rst)
  u; m( c/ [+ I' Q3 I8 w                do_writeback <= 0;
7 a: v7 A, n& [4 u0 A3 G        else if (wb_writeback_done)0 L/ x, U8 u) ?1 ^; ?8 p( I1 p: G
                do_writeback <= 0;
1 |3 v4 ~8 e9 j( V        else if (start_writeback)' A/ g4 z7 v7 E+ l# s$ V4 `! r
                do_writeback <= 1;
! f; G/ F* u+ p+ R1 d! r0 \                4 p* W5 G% z8 [; o$ m9 E- [7 F7 q
                ) E5 p7 @" x; z; L! V
               
, f" h  W, ~! w. t7 Z4 }
  t& ^2 Z. e4 J: ^5 n
8 R; H! o4 s9 H6 e这段代码就可以实现两个时钟域(wb_clk和ddr2_if_clk)间ddr2_writeback_done信号的同步。" h) K# O4 d, U1 i

  c. S% J% p8 Z1 v& T
. B0 [6 i8 m9 ~$ F& r; b; \( D8 `: |. P# W- J+ B
2,编写test case. I$ l( ^% H2 {7 Q+ U3 H9 U
为了更清晰的展示其具体的同步过程,我写了一个简单的test case。! T1 W3 x- n9 r2 V0 s1 f

" V# S( @9 W8 v; C1 M+ v8 d9 ha,可综合的sync.v:
! n; r7 t4 q* S" [5 s- C$ m' Q  s2 N! o0 @+ a8 a

/ c4 a# `: m' U, ]; w
" m" q  p# z6 B! M. T% x- y/*
+ _8 |/ W3 s: ]6 l4 @$ a7 F* file name                :sync.v& ^; {3 m3 E" J/ U4 b0 y. Z. }- J
* author                :Rill/ g0 j9 l- B! D3 P
* date                        :2014-04-12% P: g6 ^* K! [0 k0 G
*/
' M$ Z$ e* Y9 r
  s4 w# p, \7 {/ f. a% z: k
6 ]1 n9 v! ?3 X% P( C, b8 u) |module sync
, t" d1 L$ I; [3 Y( A, q5 @(
$ }! ?6 j% q& d, H3 \2 \0 Vinput clk_a,
. t7 f0 b  N& [. R7 O' W! Ninput rst_a,8 G3 o7 b. V$ v! S6 Z7 O
input enable_a,. K8 `) C+ C% |1 ~* Z" \
% m, u$ n( i) _/ U$ D8 I
input clk_b,5 G+ m$ q5 |1 a
input rst_b,
9 ?4 [9 m, ~' T5 S. _8 F) Foutput enable_b: I1 S, f* c, F. u  H
);- G9 t: C# {- J' y" W

; A* {$ I) z. e3 qreg signal_a;
1 K9 v( n- s6 a( B2 V- K
+ \. h. o& g, k, O7 w/ I" dreg sync1;% V) d+ d+ T8 b/ ~' ~1 n
reg sync2;
( w$ B. i6 L. ]! l% w# Y
( _; X" k! f( p" I& C7 t  ywire signal_b;
/ k* s; u8 N% G9 z- wreg enable;2 g4 C/ T+ I& W% }8 [* {
* g6 Q/ }1 M. [% r7 {
assign enable_b = enable;9 y7 b$ m# e$ {

" E" t- `! N: T: F9 d* G' f//'signal_a':generated in clk_a domain
/ I2 N1 V% p0 C8 B; lalways @(negedge clk_a)
4 ?' q. C2 e) ~begin" P# k1 _! R+ _9 H! u7 X
        if (rst_a)$ @  e* R; ]1 h. l
                signal_a <= 0;$ [) @/ y- t* M8 C" b0 e5 G. E9 z
        else if (sync2)8 q3 _- |+ h9 Y* S
                signal_a <= 0;% l- T) D( x) B' T2 j8 U9 w
        else if (enable_a)
, l4 K3 R& W: V1 a( L9 H- f9 k# x                signal_a <= 1;. O5 h! \$ V9 W2 z: S. _6 {2 G
end' {( S3 }% G3 g" L& U
//'signal_a' sync to 'signal_b' in wb_clk domain7 v% y* _1 o/ V/ R' g+ W& p  ~
always @(posedge clk_b)+ d5 ]/ X, ~: b3 ?$ u" p
begin$ l- _! `  n! h
        if (rst_b)& c/ P  o) e( {6 M' a* }2 g3 S, v
                begin0 J' U+ ~+ V  U3 ^! L
                        sync1 <= 0;
, }5 Z- Y2 S$ `# b' U9 z, ]                        sync2 <= 0;# m3 l: b& ]1 t2 I
                end - P( K1 ~0 p7 y: Y
        else4 l" c0 v- n  c. T# F
                begin: G: P# c' Q/ V0 W- s) Z
                        sync1 <= signal_a;
- S3 n( e8 M* w6 ^! H2 W$ c                        sync2 <= sync1;
  G8 H4 p& k: `1 w1 d2 H                end
; Q5 ~8 ]. _) s$ z& Z6 }# Hend
( h/ t; y3 }$ i0 W! b: l6 C7 |' ?$ r5 B( P3 x2 i% D$ j
assign signal_b = !sync2 & sync1;, P# U2 [- w* @1 q& g  u
7 S  W, H6 y1 S0 A( D+ i! n8 q/ E
( r+ G5 y3 H" z4 L4 P' v' Y
//use 'signal_b' in wb_clk domain3 K9 L$ [0 h7 s# C$ L
always @(posedge clk_b)
4 k" B2 j( o7 Vbegin( }9 P/ p8 L- c) T6 @, v& M
        if (rst_b)
9 |4 \1 o! C( Q                enable <= 1'b0;
4 [$ a1 f* I" f! H( t        else if (signal_b)
1 O+ p+ i1 J8 k9 ?- p3 r, A                enable <= 1'b1;
! P" Y9 ^0 f8 I1 u/ T: d, `% x        else1 w5 X! k4 ^+ x! U: h
                enable <= 1'b0;' G* z" I4 T. d, M+ }% v
end- C7 k' _% _* y: e8 f: I6 K
( @1 o$ o# B5 ]6 @" F9 A. Y% ?
& ^( T3 \) {6 b4 L* K& Q
endmodule
. F6 Q' ^# \5 I+ O                3 _7 @0 M( Z( C* B& z
/********* EOF *************/
& O0 q( ~( a. v3 ~% f- G8 P6 |6 T* j- u- X% x" m% W7 v+ P

( M5 f1 k) F5 N2 ?% z1 Ob,不可综合的sync_tb.v:6 c# l1 l" M9 V2 z* O0 l

  V/ k! X9 q% A8 Y9 a1 j& t; @# `1 q4 r4 E, m! J& T
6 C# T7 r- L$ r' f# q* g
/*) W; X# v6 P1 [2 @) _+ V, F
* file name                :sync_tb.v
5 Q2 j, p: R& K3 J0 o, M* author                :Rill
4 U8 }; x& {/ N3 m2 `( S. O* date                        :2014-04-12, u5 U8 @5 l, g1 M! n' H
*/
6 D! G" |8 p6 ?6 w
* Z6 z' i/ S- x`timescale 1ns/1ns& x3 ?0 ~* f# n' d% I
9 w& m( r/ p0 a* u& r
$ r$ |, G; t, Z3 K- m$ H
module sync_tb;! ?) F6 T! ~' a

8 v5 X- ]1 Z7 |9 I5 mreg clk_a;* s0 A/ {5 K3 w
reg rst_a;7 z7 w( I+ E. ^  M5 X
reg enable_a;5 l! U- \  o  E0 o) z% H/ z

% _: v7 }1 \* d  ?* v4 a  n) \3 ~! jreg clk_b;8 W& g* B% b, A3 C0 H
reg rst_b;/ x0 k; O/ t1 ~7 y0 \6 t' b
wire enable_b;
, u- R+ p6 M  i7 \: V0 s5 t2 h% A- f& b& f2 k' f, ~

$ N7 Q. {/ v2 d8 V" G, Hparameter CLK_A_PERIOD = 10;
9 g1 q4 U! N6 _/ C& ]0 j# O! Cparameter CLK_B_PERIOD = 30;
6 ^$ W$ W0 E* i* a% ?
+ ^: P8 l& }5 g+ m//gen clk_a. z( s5 M& `2 H% E; A
always #(CLK_A_PERIOD/2) clk_a = ~clk_a;5 K8 b+ D2 ]* r( R
, R$ L% K: r# }2 i
//gen clk_b( A3 O, N, p1 w3 n6 q5 O' E
always #(CLK_B_PERIOD/2) clk_b = ~clk_b;
4 K' P* a4 `1 f# k# e+ ]
: M4 q7 x! c3 G) B' x* x2 S4 V. v
  G+ E# s# }3 b/ q//gen clk_a domain test pattern2 V& C$ _, U; f* ]; D( l
integer m;$ O6 [" _( Z) n, l
% S5 D! l, g6 n# B+ c! N9 ~
initial
$ J1 D& x2 a7 ?! c; Ibegin
2 s& i  U9 h& I* T1 e        //rst$ {3 s. B# l3 i: o
        #0/ ?8 A5 K. x6 M& k# |# T& N
        clk_a = 1'b0;
# {0 u8 T4 A1 Z$ h0 @8 {9 t        repeat (10) @(negedge clk_a);
+ n' ]" j6 Y: i" I9 ^1 S# P& P2 Q        rst_a = 1'b1;
' D, c: T( G  f" Q4 {        repeat (10) @(negedge clk_a);
5 p0 |. P% Z8 T* B# ^# w        rst_a = 1'b0;7 e0 z: P7 ?; T7 D" L) q
        3 j9 ]* E* x" d& V' V0 M( u8 _% O
        //wait clk_b domain rst done* e& ]0 D& A  y% Z9 o% m
        repeat (1000) @(negedge clk_a);
4 G. e  V' u* W; e% R        + e% Q( L% V$ o
        //gen test signal
4 Z2 b9 n" T/ C- ]        for(m=0;m<10;m=m+1)
! U1 e) ^. X; P- D  f6 @' W        begin
6 v& [5 A2 w/ t) k# H                enable_a =1'b1;- C! @. k( C9 ]
                @(negedge clk_a);& r% b& ^9 D6 S( H8 Y& W) C) w
                enable_a =1'b0;
1 r* O5 p( @& ~% Q
( l" m. B9 S6 Y0 ~8 [" Q. j                repeat (100) @(negedge clk_a);
0 E+ Y/ w1 R1 }        end% n4 `! M. k( G* b) _3 g, k
       
/ y6 a" q) p) p( K! _; N        repeat (1000) @(negedge clk_a);8 V  X" c8 v" p! _
        $stop;4 N( v4 v- r4 C, k8 I
       
  ~+ b! `. p9 {  m/ e# R. iend( U9 a3 \# W, }3 E" T9 Y9 m

9 R! m# h- S2 s2 _" _$ oinitial
8 H4 M6 T9 t0 A5 u. [begin
7 |9 N) o( K: L$ W) M# F( Z        //rst
; Y) {# J$ v1 c9 |        #0
& M, U: v/ x! q$ Z+ g1 Y        clk_b = 1'b0;1 [9 N- t* g, q: Z6 D/ H6 T
        repeat (10) @(posedge clk_b);
# {+ u$ Y" g- c  T        rst_b = 1'b1;* \$ ^5 ^# w8 W
        repeat (10) @(posedge clk_b);
& [7 P& l) i9 G4 ^3 ^        rst_b = 1'b0;
/ L  U, K% \8 w       
+ j- C- @2 }! \! I! n4 A- Tend6 {0 _3 h. r' |2 O# d
( e2 b3 O4 ~% O
- j  y0 q/ O2 O( v" i* U, a. |
sync SYNC00 U, H/ q( C' h7 G6 c7 f
(- f% V; D2 f# G. I- b; _
.clk_a (clk_a),
6 D# H' s6 }! b/ K.rst_a (rst_a),; Y" Q& ?# c( D0 W$ w8 m# r7 D2 c
.enable_a (enable_a),
$ [- E- ~- Y& V3 a+ n! E
7 Z" |4 Q) `% V2 z$ p6 }. ].clk_b (clk_b),
+ e+ m$ \# K: x, M+ \! ^0 S.rst_b (rst_b),
, o0 w4 V/ ?  P" R/ p.enable_b (enable_b)
& A; q$ ^" Q) @);
+ _5 [/ k% n" N3 j3 j, ~9 m  l3 g5 h* ?' E- n3 k
endmodule
* X  R7 v2 [3 z& V9 V
1 o+ k/ ]6 c  J$ E/********* EOF *************/$ [5 l" z/ Y# `6 t- {; |+ y. D) U

9 ^' A0 n8 g  g+ o  _
* `" T+ x  S5 n0 g8 p; b5 b" z  P" `) n4 c& ~- g6 w, H

+ k- A5 d3 Y+ A3 v+ R) K3,前仿验证A
8 n% Z- Y4 q5 c/ r: ?下面是前仿的波形:# N7 w5 _( G2 f* C3 A+ ^& K9 f0 E
1 ~0 Q! t. n+ A* }- g; m

1 H" C7 M% W/ e8 P  v& S: P1 ~0 F9 A: f$ _

7 ]! s2 {5 G3 p6 |1 A+ b2 _- T: O# b' V: a5 c6 I* {
从中可以看出:
' t  i8 V4 ]; {& ^3 S* X  v
, n' t; J1 M# ta,clk_a是下降沿触发,clk_b是上升沿触发。) C$ B/ V3 b: Q) A

" X4 b5 X0 w8 }' x2 q. J, cb,先看m信号上面的波形:
$ s' I0 s. o, Z- @: D; h4 G
6 h9 Z& ?# W  m5 }+ a) h- g5 Z- oclk_a时钟域产生了一个enable_a信号,这个信号被clk_b时钟域detect到了(enable_b信号)。; v' M- b8 X8 h6 [: O
! ^6 X$ P6 J3 D2 N  V8 b/ l
enable_a信号是clk_a时钟域下降沿同步的。enable_b信号是clk_b时钟域上升沿同步的。7 \! n/ n5 s2 L* C4 Q
# P% L* c# q' N1 P
那么具体是如何实现同步的呢?我们再看m信号下面的波形。( X7 M% k, r: Z: O) m
! J. ]+ M, u! B2 V  d# O  |
c,首先clk_a时钟域下降沿产生一个周期enable_a信号。
8 H" b: V# q6 y6 y; \5 n- T5 H+ \0 z
d,这个信号寄存到和他同一时钟域的signal_a。; j4 T* V1 t; n+ S2 R2 N
, Q7 h: U- q& @8 x6 V
e,异步的,clk_b时钟域上升沿采集到signal_a并将之寄存到本时钟域的sync1。+ @; W3 L+ |% W2 W0 W) V
' S9 @4 Z' a3 I$ H3 j
f,在clk_b时钟域,经过一个cycle,将sync1传给sync2。这时,即可采集到signal_b信号,而这个signal_b信号就是在clk_b时钟域的。2 W' o0 t; K  B1 H* A: S

2 Q4 |$ S2 y4 o: s- Yg,异步的,在clk_a时钟域,在检测到sync2有效以后的第一个下降沿,将寄存enable_a信号的signal_a清除。2 p- i/ V0 T9 @+ ?
# G; y  t$ X: o$ l: N1 _- Y8 e
h,整个同步过程用了6个clk_a周期,2个clk_b周期。& e; r' ^: L9 `; f& `; f* A0 `5 j" [

/ x( Y0 q0 J% Q$ Q: V1 ~
! P0 ^) |0 l6 Q% `& A* P# ~1 ?
4 y- f2 E6 G3 M) j$ Z& ~4,前仿验证B
) h% i7 R$ G8 }1 n- a2 m3 e从上面的分析,我们可以看出,采用两级触发器确实可以实现双时钟域信号的同步,但是这种方式也不是随便任何时候都能使用的,如果是慢时钟域同步快时钟域的信号,则要求快时钟域的信号产生的不能过快,否则将会丢失部分信号。
2 |7 c! m" s5 y2 D$ d1 G
: Z5 P+ p" S5 ^+ j还是上面的sync.v,我们修改sync_tb.v中enable_a产生之后等待的时间,就会发现有丢失的情况发生。: ?. y6 {+ r  X' I$ M, ]) B2 H! J

( x' y3 i3 k% j( Z$ h: w4 C修改后的sync_tb.v:/ y) D% L3 Q& g' H" s# Q
5 @. q% h6 O  T
* W& X! ?( ~4 E- f3 _' q

0 I1 y/ b% I' ], I4 q! i, P5 t/*
- v7 C* M" V( t" k* file name                :sync_tb.v
, w5 e5 q  Y0 {9 C* author                :Rill
8 V) l$ n, ^0 h! v4 `9 \* date                        :2014-04-12' K. e7 U& F* v, t# O% n
*/
+ Z* a3 @  C+ j7 I' F8 L8 C: a# e( E3 g
`timescale 1ns/1ns
+ {* ?( Z) `. C8 X; ]/ s1 w9 I2 ?4 P  Z* k% B, L1 N
$ ~: L; A3 E7 F1 V4 T: R: U* b
module sync_tb;, h& |+ G" o, f& ^( h
! _5 b8 J2 A3 j( M8 |  h
reg clk_a;
; I5 r, ]& g6 Q2 N$ @( H! ^reg rst_a;( g5 m# m- E5 G, R8 ^5 U# M
reg enable_a;% n- g' m/ b# E, ~! F. V# r
* }. }4 w) l6 r. F; l( @" o" H- G
reg clk_b;9 w7 ]4 P6 }  C1 q  `
reg rst_b;
4 X: D# ]( }$ t5 ^- jwire enable_b;
: p- {3 `, U4 R. J! w/ \" I
- x9 b" ]) N. s# [/ R4 O2 @5 ^6 ~9 Z
parameter CLK_A_PERIOD = 10;
+ h- x( M7 x  L" }7 A0 T3 \parameter CLK_B_PERIOD = 30;3 A4 }$ _5 X, o
' ~0 J  o4 {* i' k
//gen clk_a
+ U4 c2 G  q, ralways #(CLK_A_PERIOD/2) clk_a = ~clk_a;
/ h3 p. F" r3 r$ V+ l7 U
; `+ D$ S7 b2 C! e$ {//gen clk_b
6 W+ y2 x, n' |. V6 I" [always #(CLK_B_PERIOD/2) clk_b = ~clk_b;* F$ g  y/ s$ h* K# v4 r3 H2 g4 G

  q' S% ~/ m0 r: ~0 c
: ?+ v8 Q. L! E( v5 O( n+ ]) a! Q//gen clk_a domain test pattern
  A# X" U! ?$ S( W$ h! i7 {integer m;* y7 q6 C6 O/ T6 A3 L& p  }* U

2 K' K- o9 q4 T+ v6 R/ Uinitial
  E. w3 O/ b& ~, ebegin
1 F( Q7 f+ ~  C        //rst
  P1 \' ?. M5 i        #0
; F! N8 w3 {. Q6 o8 U" P        clk_a = 1'b0;
7 }, |* u" W! D" ^( M" P        repeat (10) @(negedge clk_a);& }4 l& B# s' ^
        rst_a = 1'b1;
$ ]9 g9 z! p( r: E/ l. Z. m        repeat (10) @(negedge clk_a);
$ E; b/ h  ]2 Y6 x  F        rst_a = 1'b0;5 ~( S5 W; `7 T6 O0 g
       
1 L# a- ~! j0 o+ k        //wait clk_b domain rst done
2 ~1 t6 K% ^" I% S+ `4 g        repeat (1000) @(negedge clk_a);
" e- w/ N' y9 V* X0 t/ e1 Q8 k        & j4 l, Q  `, f; B) B& E# G
        //gen test signal
0 K, C3 v/ A3 e1 W  g7 r# e        for(m=0;m<10;m=m+1)
% l8 Q& b7 h% ?9 @- ?/ t+ P        begin9 G" t- e' q' b- t- D9 o/ u( ]
                enable_a =1'b1;
! E/ V% B# \) u$ X3 V; C9 j                @(negedge clk_a);
" D8 b- C3 J  C/ O( l# Y; x                enable_a =1'b0;
# s/ n0 m* O: N- m) _: w5 o1 P- t- o: g) D' {
                repeat (3) @(negedge clk_a);//modify!!!
$ O8 s  f" S  K6 a        end. {) q* @3 Q% C' S+ p
       
& l- Y5 b2 i4 z2 f8 m0 {0 N        repeat (1000) @(negedge clk_a);
2 H, O4 {+ E( E( E6 S% W  x        $stop;
6 M  }8 K1 _8 @* g6 K+ S        ) f! P8 s* s0 d  u
end3 o6 A3 I! y) {7 L' F
* z: I; A/ t2 G) T8 F: D
initial* n& S5 n( E0 |4 J; `7 h# {, r, z
begin8 Q+ o& [. M7 B  \$ ?1 |1 m
        //rst: C% z4 p& Z& i8 w
        #02 Y. P) \! @1 B6 D4 ^% s" |% t
        clk_b = 1'b0;4 }/ N& K) t0 S$ s9 `
        repeat (10) @(posedge clk_b);
( D( q; g' O- o- {7 Q1 k3 h' m        rst_b = 1'b1;& `8 a% S5 i! G! D$ G! H$ R5 b
        repeat (10) @(posedge clk_b);
4 i: R( e" s$ c/ A5 D# [        rst_b = 1'b0;1 D$ _0 k( j; K3 O; O4 V9 u* |
        0 ]; H" L2 [9 b7 y
end8 K5 |! O, U" Q1 s/ S9 Z' U
3 d8 z+ P$ }- o0 N3 Z9 J
. l: P2 Y3 p3 _
sync SYNC05 n$ ~$ o1 `5 d1 d. `  R. {
(
. \. `* n" v1 W. q" X) k. w9 o0 S0 Z.clk_a (clk_a),7 @1 l# h$ N6 h# V6 O
.rst_a (rst_a),- q. F( @# o9 r
.enable_a (enable_a),
) P2 t8 J' P$ ?; F  n
: ~. {7 x5 I' W& b$ X7 _.clk_b (clk_b),3 ~/ E' M* m, |; A: s2 r! x
.rst_b (rst_b),& H; O5 O6 V7 m; x2 ~0 J3 N
.enable_b (enable_b)
; h! Y- x" e  O9 A);
6 Z7 q6 q1 L3 A# A% i1 h9 n; e6 {% d, b; ]4 ?- }
endmodule: Z8 E! x4 G7 I4 S! \
; P/ F: J7 i! u! M" C# ^
/********* EOF *************/, G7 N; N/ X9 \2 t: S' P
, a: j3 d8 g$ G4 X
下面是修改后的前仿波形,如下图所示:
+ |7 |; m2 O  H) z从中可以看出,clk_a时钟域一共产生了10次enab_a,但是clk_b时钟域只detect到了4次。1 [  ^" V5 V2 B* \2 k5 t
% {9 t# K  V3 h4 P8 @: @
+ N# e+ a  Y/ z1 d# G* i( z" t

' X  y2 ~+ i, m, p7 g+ v/ Q. R3 D3 I& y

$ P/ K5 P# [1 c' G# c5,前仿验证C
6 u6 g+ H2 B- j8 j2 P/ k3 _3 F3 Y上面是从快时钟同步到慢时钟,如果快时钟域的信号产生频率太高的话,就会造成丢失。2 D# H+ `* E# H+ O3 Y, Q

, k1 l- i2 i0 p; i那么如果是从慢时钟同步到快时钟呢?
6 `7 l4 w: p4 [" v( ^0 v+ |8 T. f9 F; @& N/ x2 I+ |
将clk_a和clk_b的时钟周期互换,每隔一个clk_a就产生一个enable_a信号,结果如何呢?3 {8 d9 S4 v( M, ?0 @
" @9 w( \/ [# F  n3 @3 v
修改后的sync_tb.v:
0 e5 e7 J2 K9 R  e* o8 Z' T8 M* d( L2 F- p" m: x; B5 u

! U9 Y( E- m7 H3 Y
: h$ k5 y- i; U4 ]$ C  _/*& z; t% D( _5 a9 j; L
* file name                :sync_tb.v( m+ S& _; Y! p
* author                :Rill
, N3 g! P5 [+ y: _" Q: r) U; M3 b8 W* date                        :2014-04-12
' b6 H! @* y; Q6 c% P* t. U*/
2 X2 P  _4 ]& h! n) ^. ^2 I/ O. t; K- D$ ?+ i" ^
`timescale 1ns/1ns: b- G9 K% Z2 z) u
5 p  ^% |! ^( a3 x# G% M
' n; D$ ]: L2 m; h
module sync_tb;, W* D7 d" T1 {8 y$ p
. i+ W3 T5 `2 @1 z! L' K. P  K; u1 [& E
reg clk_a;8 O9 C/ E8 h# o- [
reg rst_a;
0 ?# W, s3 a, N- p: Ireg enable_a;
" e0 L/ A! R# o  t, ^  O  R; Z4 F8 R, v' G& s7 n6 O
reg clk_b;4 w& J3 j  Y& L( W8 Z9 C3 B2 u
reg rst_b;
$ [% y: Z: c8 ~wire enable_b;
2 [. h' b3 _3 y: L4 z6 c! n9 V: a3 e7 R  o! q
  d; _! f2 ~* G, o7 E3 R
parameter CLK_A_PERIOD = 30;
* o7 u$ {- B9 [. kparameter CLK_B_PERIOD = 10;$ X) Z4 Q- O0 q) p

0 ]- ~; i& L1 T* {0 w2 \$ H. {; Y//gen clk_a$ F( R/ W* @% }7 D% ~. }/ B
always #(CLK_A_PERIOD/2) clk_a = ~clk_a;
- h4 }( P- x2 B
& l! R0 S: ]; l. a# Z//gen clk_b
0 `. S) v3 q6 ~" R8 f" salways #(CLK_B_PERIOD/2) clk_b = ~clk_b;9 h- p* O9 u$ w7 K6 r

- @+ [& B* S" T( a3 \% X8 o- v; {" f! A! E- b7 ^0 i
//gen clk_a domain test pattern
3 G; V/ m% s7 ^) i5 k: R. B9 Vinteger m;
4 D9 w& O, w1 ^4 c5 c/ l! t0 g" h+ g$ V- p' e1 v
initial" s9 E/ x0 O" }+ {
begin
7 W; d& k1 D0 ]  h  W        //rst
4 a" o: m  a. A0 s        #0; s5 |) F- E1 T" u' F4 v% M
        clk_a = 1'b0;
% r  T/ X% M' C% M; m2 `( o2 [        repeat (10) @(negedge clk_a);
% u2 n% v1 o( X3 i5 E        rst_a = 1'b1;, E9 N* G  Z# k2 x! g9 K
        repeat (10) @(negedge clk_a);4 O9 ?1 C- P8 J
        rst_a = 1'b0;
+ a7 j: ~0 M: C' T0 B- v       
& f+ @7 M% S6 ?        //wait clk_b domain rst done
# |; D/ F8 s# |2 b! _        repeat (1000) @(negedge clk_a);, Y* S: _6 E. Y) Z  d" ~) P
       
/ Y7 d) h3 q+ q3 J( C/ u( l2 p        //gen test signal6 ?3 ]' U5 @' G
        for(m=0;m<10;m=m+1)" h2 Z" r. l3 z! M
        begin
& @; R1 a: }8 c" ~2 [! W; V* M/ e                enable_a =1'b1;
$ `4 p) C' V2 f6 S5 n4 o% B                @(negedge clk_a);, e1 B) U* S2 v4 I" e
                enable_a =1'b0;
" o- R% q! l% g7 T5 b3 @& }
3 M9 Q% J4 x5 f$ F                repeat (1) @(negedge clk_a);//modify!!!$ F. z) [2 Q/ E6 w" j& V
        end
( P  p: J/ B4 V7 A. l9 c8 [       
) N' V# \1 D% ]0 H4 O9 d        repeat (1000) @(negedge clk_a);
9 e9 ^/ O- C9 V% [        $stop;& C8 n! D+ @; m. \
       
9 ?7 u) {" L/ G$ C- m' |* uend' }1 |& E! Y. L3 C) v" d* l

6 ^6 @2 d% O( u4 V% b9 jinitial+ `, C0 i" p* F& Q
begin
; Z/ i: n$ }. }        //rst5 P( a5 i' e! ?# M2 [
        #0' M/ W' Q) K# {; B; k
        clk_b = 1'b0;
  S, a& b* w. M7 _2 n5 Y        repeat (10) @(posedge clk_b);# `& n% ?) H. u. ]9 D
        rst_b = 1'b1;
7 U" {* b/ y- A+ a        repeat (10) @(posedge clk_b);
! F7 q3 @8 G' e) Z  q6 L& r7 P& E* M5 J        rst_b = 1'b0;
# \8 Q4 d- |! X" F3 J$ Y' \, F       
% }& @- M; H5 _, L- G% K& Oend
% [7 q  Z" p% |# q3 X
: m1 {4 C! K3 R( \- I7 u
4 O! b: D# T$ r' f' V, Qsync SYNC02 h( a8 L+ a7 ~; k$ }, }( G; ]
(
. G( \! I' ~/ m1 i" \.clk_a (clk_a),
; ?: F$ U! _) d  r.rst_a (rst_a),9 I# Q5 y/ M: \7 r) C$ G
.enable_a (enable_a),2 L7 W/ J$ d6 [; |

. y& _9 H" Q4 P0 Q, y.clk_b (clk_b),
3 R" O- c$ e" q.rst_b (rst_b),' m; b( }4 `/ U) V2 G7 t
.enable_b (enable_b)* l7 {1 L- u; J! \9 ]
);1 d$ R! d3 K& a, _1 y+ I- s( E

( l7 u' w5 \8 H) j3 o: m5 [7 |endmodule
/ i: w! @" I" d9 u) q$ E
* f8 @  ?4 x1 i8 g/********* EOF *************/
* X3 q( _( ?" Q0 P+ {5 d+ Q+ a' n" f9 G+ L! p! C( b; T

+ }- Q4 L8 m" [$ U, A) T8 \下面是修改后的前仿波形:! K8 v9 h' w- j) ?+ E. H
: |! D# W3 h. v9 z( m
从中可以看出,即使慢时钟域每隔一个周期产生一个enable_a信号(一共10次),快时钟域也不会丢失(也检测到10次)。9 e* y( v& u+ y: M8 _: Z6 D

, N) m0 J( G/ S  ^. t3 u1 D* o2 c( |, m6 _

/ g( M% R4 H$ I1 L/ J9 Z: T6 O, Z$ [

6 Y4 Q  i/ g4 E0 P
7 G. o( p' z' V7 T+ m5 q8 R$ K2 l/ ~$ e0 s+ f- _: j
6,小结$ F  u4 R6 t5 D
本小节我们对采用两级触发器实现双时钟域同步的问题进行了简单分析,其实除了快慢时钟的问题,还有亚稳态的问题,由于是前仿,没有延迟信息,所以看不到亚稳态情况。: Z! A  n$ Y* J' `. Y
, G6 O. ^3 M* S* j9 e

( M# `5 ^" Y4 j# K$ n
0 |# R+ n. F, s+ h3 A5 b% i4 ?! e/ @0 i  M/ Z& x( K

1 F% D3 }  t9 r( s  t5 e/ e
4 l7 w1 W* S9 u5 W# L" n4 q7 N* |" c* `: _+ B) P( s. R7 C) ?

该用户从未签到

2#
发表于 2020-6-11 14:37 | 只看该作者
关于采用两级触发器实现双时钟域信号同步方法的分析与验证
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-11-24 13:58 , Processed in 0.171875 second(s), 26 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表