EDA365电子论坛网

标题: 关于采用两级触发器实现双时钟域信号同步方法的分析与验证 [打印本页]

作者: haidaowang    时间: 2020-6-11 13:26
标题: 关于采用两级触发器实现双时钟域信号同步方法的分析与验证
引言* |7 P8 i2 ?1 q  h- K. @
实现双时钟域数据的交换,最常见的方法就是采用双时钟的异步fifo。但是对于单根信号线,如果仍然采用异步fifo就显得非常stupid,这时,往往通过两级触发器来实现同步。
$ [0 H( a( x7 \: t5 F5 e
( m4 D8 i8 L4 Q+ E( h! z. O# {4 e4 r那么,两级触发器是如何实现同步的呢?本小节就做一个简单的分析和验证。) A8 f- D7 y8 k' s! ~
% u( I8 _( n& r1 o" P5 F

" F% f/ j6 K; Y6 ~% ~1,一段代码7 n* q; t2 e0 C! j: z; }( _

: l6 ]6 k/ e. k  \- D8 u下面是我刚刚从ORPSoC的工程里看到的一段代码:
8 h! d" h* |1 t" b3 i
$ W3 \7 u; l' y" d5 s2 v8 @3 w$ |, X6 s+ S2 A0 _0 ], k
//'ddr2_writeback_done':generate in ddr2_if_clk domain2 }$ m# r- }! E; L( B9 T8 v
always @(negedge ddr2_if_clk): h, a. f: P) E% j
        if (ddr2_rst)5 S- r5 b, Y2 r8 b: W1 D
                ddr2_writeback_done <= 0;
6 U& V& i+ u& o        else if (ddr2_writeback_done_wb_sync2)
: Q1 f4 K5 h8 ^1 X/ q+ A+ }5 i  b                ddr2_writeback_done <= 0;
' ?/ ?/ C+ ]: a# l2 J1 s        else if (ddr2_write_state_shr[6])$ H% V, B& y$ F/ l& C
                ddr2_writeback_done <= 1;$ h2 s- t. s/ e2 l: V
5 y) D/ Z9 d/ q4 N0 m
//'ddr2_writeback_done' sync to 'wb_writeback_done' in wb_clk domain
0 G! Y+ i' k/ W. m2 s6 r7 x" \always @(posedge wb_clk)
9 ^! `1 E. W* x0 w. q        if (wb_rst)* `+ X! e, Q8 [/ k. O
                begin  d2 x; m8 m, y; \# I' n
                        wb_writeback_done_sync <= 0;9 {# O: Z5 z  E$ {4 G4 E) B; u
                        wb_writeback_done_sync2 <= 0;; l. q1 y+ a. w
                end
1 K$ @# K' Z3 J& Y% Y- S     else
7 L0 k& p9 a$ S  V' A0 r                begin& m1 U/ [# m7 U7 G% H1 X- `" t2 @
                        wb_writeback_done_sync <= ddr2_writeback_done;
! A: |( t6 e" c2 J6 Q                        wb_writeback_done_sync2 <= wb_writeback_done_sync;
: a3 ?% W( ]2 A# p/ g                end
" o# g) A& q/ s) v* P7 x/ P& E
# `3 |& e; X2 ]9 ?/ [! xassign wb_writeback_done = !wb_writeback_done_sync2 & wb_writeback_done_sync;
5 W* Z: T7 q' c0 V9 x, a- L5 \+ e( }
. `; d# Y0 u' o% n9 `0 v* y4 e
' F- v* E3 z+ U//use 'wb_writeback_done' in wb_clk domain
1 O+ t/ L2 C  c7 B5 [; zalways @(posedge wb_clk)
# ]/ q6 b8 C8 Y- q0 l9 ^        if (wb_rst)
: U, _9 i9 Y, r2 R0 m( g                do_writeback <= 0;
: _* z- R8 J' i; W$ P: t        else if (wb_writeback_done). T' f$ E/ f2 l( a8 z0 Z! n
                do_writeback <= 0;
& a6 l* [) R( T! m        else if (start_writeback)
8 ?, ?2 j, ]1 K                do_writeback <= 1;
: x: g' P, Z3 z, B+ M, j# K) t' i; b5 U                6 W. ]) {, u. ]6 e: g/ h4 f
                . |/ ^& P9 N+ H
               
; n% ^1 k, T, t( X# U
- A8 o  @3 @) O# B3 e
! _" A3 G& r7 `- q; c" H# c& Z  h这段代码就可以实现两个时钟域(wb_clk和ddr2_if_clk)间ddr2_writeback_done信号的同步。
. v* ^1 G- V6 E  a6 ~5 U$ f1 }) D  ]( m

: J+ N2 H& C+ m, `) L
. @+ q% Y5 t# A2,编写test case+ Q+ l: }3 R8 E4 C
为了更清晰的展示其具体的同步过程,我写了一个简单的test case。% a* n) C6 P. J8 ]0 t! _  w: T
5 `0 z% x4 {! _, g$ T/ m. o# x8 E
a,可综合的sync.v:6 s. u; w/ h% }" J

3 M) s+ h' \' E( o& @; s( M9 h/ Y2 l5 m2 ?& Q  H
3 {2 K6 [2 G9 @  k$ s5 P
/*
2 |: G9 v/ x$ y" k. G2 _, N* file name                :sync.v
0 L' d. r: v+ a7 ~* author                :Rill  ?# o5 n1 Y! C7 D8 F( ~
* date                        :2014-04-12; U# u- h+ u  e+ y1 q" r
*/
1 v" q) x  V& m8 _1 t
/ n* w1 U; ^5 P3 |3 s3 U8 h1 y3 L+ ~! @9 G
module sync
9 d7 L  V7 ]% T9 `# ]. t. ^(
; m0 W' L; L! v$ \input clk_a,
" S4 o# }3 R3 Q; u2 v: Kinput rst_a,+ V! N- @; q! O# _! }
input enable_a,
( p1 T5 T: k! j) X. a0 R9 J$ Z% p4 V! C6 f! g; C% [- C
input clk_b,
; T1 c' e/ I! |+ ]8 xinput rst_b,; h$ ^* A! X3 Y* |6 u! N' D
output enable_b6 F3 t6 c$ T) b# c& w
);8 d0 Y/ P* N4 b/ h

& I8 Z3 {, t7 [reg signal_a;
) E% ^9 N, H% ~0 O2 w" j  s2 h1 n
reg sync1;$ ^1 j& O8 t; F5 ]6 j8 n
reg sync2;6 L2 h. F1 m3 D5 Y7 s
# p* x; X6 l6 c2 i) l* b% w' F
wire signal_b;
' C* P% k' e* Zreg enable;
8 Y4 c; j9 m/ }- ^8 ?7 R
7 V. A8 i2 h/ }2 lassign enable_b = enable;6 ~$ F- {* N3 r) Z% X1 I0 G

& p. A7 ^: \* e* `: T0 R//'signal_a':generated in clk_a domain
' Z9 J: O; J9 F4 a. Falways @(negedge clk_a)
8 G3 o( J3 |! q" z; u8 ]begin: D+ s% r+ c* v7 L; }
        if (rst_a)3 }  l! [7 A8 I$ f( g
                signal_a <= 0;/ i) s# {$ E6 A/ X- a! Z+ n! w# E
        else if (sync2)
& w, L0 ]4 i% b7 F+ R                signal_a <= 0;# M7 n7 v% [: o! v. v' H( `- |, q
        else if (enable_a)! a* g$ z3 e" s. k/ s# R4 \
                signal_a <= 1;: r/ K6 {, \7 T9 z% C
end
/ N* I5 i& L1 K! G  W//'signal_a' sync to 'signal_b' in wb_clk domain2 o# X0 K/ b# m3 Q: _
always @(posedge clk_b)5 m  I) f. H& ~: S5 T, z5 y# |
begin
  ^: a' A. i  w" }; z6 k4 c        if (rst_b)
/ @% ?7 F$ Q/ B4 Y                begin* {7 Q" v4 b9 L1 [
                        sync1 <= 0;
" B6 Q2 T. j' P* e  O! y                        sync2 <= 0;
$ V% r+ |+ w0 o3 Z' ~3 v  T                end
2 O0 h9 B" C' q7 i, z5 b        else
) D0 ]/ H, Y- G* f3 K3 Z" D$ h0 D6 b                begin
. ?, B0 |/ C( U' N; u                        sync1 <= signal_a;
1 I$ D' a; }  {2 z& H1 t                        sync2 <= sync1;4 M$ k6 K( K4 ]& Y
                end
9 }4 p- E6 ?. N6 }4 Zend
6 ]9 M. a; E' g9 z& K' R: ^( Z5 ~/ m9 s, I" @, ^9 n4 L, V9 Z
assign signal_b = !sync2 & sync1;
4 }8 y' r! _4 m# _* L7 v' L
; @, K5 U) T" @2 ]
3 M5 J3 O2 p) z, v//use 'signal_b' in wb_clk domain
  a5 f; \8 H4 zalways @(posedge clk_b)  {2 [' H6 R( P
begin; N# B: H2 S4 ?) E/ ?8 a* G
        if (rst_b)3 e- h2 C9 X7 b" r1 A$ m$ u
                enable <= 1'b0;  V0 C, h; I5 w( N2 D9 q
        else if (signal_b)
. l* L$ H" m' N. {                enable <= 1'b1;
8 A' A  S$ C5 U7 ^& A% g( [        else
# x  s! [( p7 h: b6 ]  L3 h+ z                enable <= 1'b0;
+ l7 Y$ T; S' o( y. Hend! J# B) G$ h0 R
8 X' K! |8 Y3 u# U
5 r% e: v# ~' K, Z
endmodule; b" K6 |1 }$ s6 f; w; D# S# `
                & _  P# y+ l6 ?
/********* EOF *************/6 j. `9 H0 ^  G" L7 i$ l

: x( v7 n1 G% j( E& U
/ Q. Y9 t0 w5 m  r4 e. xb,不可综合的sync_tb.v:4 z1 ]. q8 ]" ]% s( Y

2 I& I6 p6 y' f4 V" l
! R( G/ T" L; M8 [5 a9 U) w# ^# n: G
/*
9 |; ^! Y. i9 [( }* |* file name                :sync_tb.v. @, L9 n, `$ _3 N
* author                :Rill
( T- X. v; K: M1 q* date                        :2014-04-12
' p3 q: q. o- t: [5 U( w*/
) L2 N" I. I% Z: l6 J! R. z$ }# G/ I, p: K7 ]& L  j# D# h
`timescale 1ns/1ns
, r3 a. h+ G  i4 g( U
" t- Q: Y8 f1 l. R6 e4 O% j+ }1 J& {" f$ Q) G2 q
module sync_tb;: E# `/ X4 x! c/ @' {0 i2 u
9 c! M- A3 a! U. D
reg clk_a;
- F- S: I! ?8 s, V8 n: E" |* [- c9 b7 Oreg rst_a;& T" y1 A4 Q5 @; ^
reg enable_a;
/ M+ P% C# ]( Y3 Q) [: Z" ?
# D/ |8 C% V9 h6 v) {% }reg clk_b;% s4 ~. Z3 q2 }4 \) x
reg rst_b;' e& a% a5 q0 y3 w9 j
wire enable_b;
5 L8 W5 _# K- {/ R
$ ]$ v: ?0 G4 e2 ^! N5 D5 T' R5 f+ G! D4 F
parameter CLK_A_PERIOD = 10;
% I. M' T% |- Q1 E; y" \; d' uparameter CLK_B_PERIOD = 30;  [4 |' X) [" Z8 Y' S0 \1 R# g/ M

7 P" v/ N, P2 v9 |8 j  P. p//gen clk_a  {7 X+ Y4 |/ G. c4 y" u
always #(CLK_A_PERIOD/2) clk_a = ~clk_a;& x# W9 I6 E1 B1 v5 ?
0 u0 M- e, f+ ]& G) h
//gen clk_b. X/ n( H, B! l( J
always #(CLK_B_PERIOD/2) clk_b = ~clk_b;
% w6 O+ j$ n$ Q4 A
, k5 e  `: i, G( G7 L! B3 g# U9 p9 S- s) L
//gen clk_a domain test pattern
, l; L0 ~' L0 U7 T) {0 Jinteger m;' E/ c4 J3 b3 ?5 K3 r4 s! Q- _
) M8 B6 U% z! k
initial) q0 ?$ v# S- R' f+ u
begin( ~/ E" f! C* B, g
        //rst. [/ P3 T( V# z7 z2 z6 t
        #0
. T; }$ H0 f8 Z% B) Q0 L; O        clk_a = 1'b0;  F8 E8 O* l" f- W% L' _8 R
        repeat (10) @(negedge clk_a);
( E4 F4 b, v% l( r4 a7 u6 S, q, H        rst_a = 1'b1;
$ L+ _0 e8 s3 v, f/ X        repeat (10) @(negedge clk_a);
8 |, g( D( @  O3 [0 S        rst_a = 1'b0;! O% |- m! A/ Y
        5 d/ q- r' Q& z: g
        //wait clk_b domain rst done
) W2 X( p- `* D; t$ m& f        repeat (1000) @(negedge clk_a);
$ z' X/ i  P; p        # L* R) W8 W8 \0 w6 y& R% Y2 `
        //gen test signal2 ?8 T7 j% j7 S6 D- m
        for(m=0;m<10;m=m+1)
$ e. Q) X) ^- d1 _        begin
- h- M5 E6 I0 T0 S                enable_a =1'b1;
6 E, ~( p1 q, m$ n) g3 `3 G                @(negedge clk_a);' U0 Z6 B3 }2 r$ k  b2 E. W
                enable_a =1'b0;
  Z  }( N  @  R: c5 A2 q) E' n* ^9 }2 M. l, M$ G  b) V0 J
                repeat (100) @(negedge clk_a);" H1 K- p" e9 P- }) W
        end
+ A: l& k9 x$ e& \' g& g        # N  W2 J, B, ^) o
        repeat (1000) @(negedge clk_a);% }* g( d3 x8 y/ |3 {6 _& D+ ^# B
        $stop;) x9 Z' a9 ?( e1 Q2 U" T7 A& r0 t
       
3 t: e2 ]" R5 L6 T, G( Kend& w2 O! C$ l7 K/ t+ }
+ v+ x5 \! e( r' V
initial
4 j) \* o; {, ~9 K1 e' I4 i; _begin
, v# b4 R' S+ ]2 K9 L        //rst
6 f0 x! j( t6 L  o        #0  {1 U# [8 g7 M2 o' x5 a8 D
        clk_b = 1'b0;
6 t' p  X( B8 o8 {& s        repeat (10) @(posedge clk_b);
! u1 N/ \4 Q: A6 U, Q        rst_b = 1'b1;5 w7 u. w0 s2 l( ?, N9 K
        repeat (10) @(posedge clk_b);3 J& i: w  D' p8 g: N
        rst_b = 1'b0;  {0 d( y: R0 `9 G
        7 V: F# x) ^; z1 }+ a4 `
end
$ p" S: W; B8 M+ S3 f7 w) \' M' m- B" Z2 z' ?0 `: p6 [, g" v
5 ^" M! u# g) x  N/ u
sync SYNC01 {& C) B  s/ C1 w, ~/ j
(
5 k) a/ o9 b/ M9 h! E0 v4 x- ~.clk_a (clk_a),
5 Q# A+ h) }% {2 ]) C.rst_a (rst_a),
9 m: w) L+ o5 ~( {' w. T2 P.enable_a (enable_a),. k4 E% a/ ^- k  {5 C+ i, g5 g
7 T2 w8 K0 R$ w5 K. q9 R
.clk_b (clk_b),
* |$ t6 s" ?4 X$ V.rst_b (rst_b),
. X4 U: P5 z: N& x, ?- v.enable_b (enable_b). o: R4 l2 A) Z- y
);
$ ~' A2 Y. G* ^( {1 h0 G$ A2 Z" y: \( N8 v0 B
endmodule  w" S! \+ K3 t( ]# j+ ?) u1 y
5 G9 j% x8 x) b7 m) R7 b# p* n% x  x
/********* EOF *************/
2 a% Y) y. q3 R( y+ M6 ^' t- ^' C5 e* W" m3 m8 l/ \
/ t& c' c/ k& `

7 o7 w- n. \* \
4 s; P/ N& [0 J8 v3,前仿验证A
# A; p9 c$ f8 j3 h% f# o( a9 e下面是前仿的波形:7 i! [9 B' g1 B% b+ L8 w

9 t- u4 H& E" }# l* A 7 A/ L9 @  t- O" m! o8 f: x
& P. |2 @7 L2 N/ _9 c
  h+ m6 q" ~4 `; w  ?, Q3 I
* b/ a% n$ n, g5 n
从中可以看出:
6 l. K, u4 ]4 q. Q% z3 g/ S( F8 N/ q
) C+ k% q) E7 k7 z# |7 J9 {' }a,clk_a是下降沿触发,clk_b是上升沿触发。* |9 H2 s5 a+ _2 S! |

4 k: _) y5 O/ U1 x" r& _% ?b,先看m信号上面的波形:1 f4 C) Y# K0 Z6 f

! t4 X! W/ w1 v8 Y; k/ I5 [clk_a时钟域产生了一个enable_a信号,这个信号被clk_b时钟域detect到了(enable_b信号)。5 P" E& z  P% {* p  A

) {; X1 D9 D% R8 m  Venable_a信号是clk_a时钟域下降沿同步的。enable_b信号是clk_b时钟域上升沿同步的。; Q6 X4 F# [' T
% m: G- L5 Y1 R; k  M
那么具体是如何实现同步的呢?我们再看m信号下面的波形。- I& M( |6 s, o: r* ~& R; B
* v  m" v$ u! H- d$ u6 m
c,首先clk_a时钟域下降沿产生一个周期enable_a信号。
$ O3 o0 s! z% F1 e: r
1 E+ O" Q/ h. c! cd,这个信号寄存到和他同一时钟域的signal_a。5 E1 Q0 j- V+ ~1 g4 r6 ?

! h, F; Q* n4 v) s; q. \4 y/ Je,异步的,clk_b时钟域上升沿采集到signal_a并将之寄存到本时钟域的sync1。
) H0 V' R* p" u  `* j0 r; G! `  b# e3 Z0 ?3 P; I: u- a
f,在clk_b时钟域,经过一个cycle,将sync1传给sync2。这时,即可采集到signal_b信号,而这个signal_b信号就是在clk_b时钟域的。
! _- y# l6 C7 v
4 U. s: C# C2 C5 _  i( Z& Y0 c# Ag,异步的,在clk_a时钟域,在检测到sync2有效以后的第一个下降沿,将寄存enable_a信号的signal_a清除。
3 p9 _6 G( ^9 y; e. Y0 c  c6 [
: M) z0 x$ N+ fh,整个同步过程用了6个clk_a周期,2个clk_b周期。
+ D8 r, E( E0 I& Q9 G9 {6 Y
" n2 q& s3 T: d( t
! \# B/ x+ G' }/ x5 j5 \" D+ c! K+ D/ i# B4 a4 s& f3 X
4,前仿验证B
! b$ D+ G% G9 K& [4 T2 l从上面的分析,我们可以看出,采用两级触发器确实可以实现双时钟域信号的同步,但是这种方式也不是随便任何时候都能使用的,如果是慢时钟域同步快时钟域的信号,则要求快时钟域的信号产生的不能过快,否则将会丢失部分信号。9 b8 C$ X, `# U" ~2 y$ H( N, j! O

) B8 v, t$ U/ O' }+ c还是上面的sync.v,我们修改sync_tb.v中enable_a产生之后等待的时间,就会发现有丢失的情况发生。
- }. x% I  [, z, A& p  ?, z
6 B$ D1 L9 m! Z, C修改后的sync_tb.v:
4 }& T7 }" H! z2 m( c0 \! X- k! M; ]/ b( p5 e

9 r, h( Z9 M( ]$ W  P( R1 V- m5 P
, r& `* I$ W6 j+ D/*
( R  W$ ~& N3 x, b( I* file name                :sync_tb.v
. a: C  C# Z6 ^9 v9 ?# ]6 T, N, l* author                :Rill
: E3 f6 u; g. a% @  H# A4 T* date                        :2014-04-12
% [9 g) T5 s; E; ~9 |*/
3 a* W0 J+ S8 u" m9 g) l- d- \. A
+ y  ?$ q6 S# o' L+ t# f" h) ^`timescale 1ns/1ns
% b$ s, G: Q5 X0 B; q- p' k- F
' x2 [, C7 _+ J! B/ t) \# n, y- e: O( E- i2 W0 E" k7 Y3 B# m
module sync_tb;
: f& u& v4 t9 Q$ j; d" V# I6 H- G( [- J; B" |
reg clk_a;7 R6 v5 B, m4 Z. Q
reg rst_a;
' V, D1 F7 ]8 h- q4 zreg enable_a;6 w3 i$ {1 n8 d8 d
1 z0 j7 u& l% ?8 @8 F. y* R, ?  \
reg clk_b;4 v0 w3 k% [( I$ i9 ?4 K
reg rst_b;- o" n) _2 E9 Q
wire enable_b;+ G3 X  m: {+ ^6 a7 q
  I. ?! H# k4 Q7 c

' U$ Q3 E- U. `parameter CLK_A_PERIOD = 10;
( ]' O. m; Q- lparameter CLK_B_PERIOD = 30;1 M$ T" M3 v9 K

/ Q; ?- G) |: H/ y! c, ^2 c7 ]* U//gen clk_a0 ~6 h; E# @  Z& [
always #(CLK_A_PERIOD/2) clk_a = ~clk_a;1 z7 u* }4 k. j% c1 f
, J& i& [2 h% m. p2 l3 n4 X
//gen clk_b( d8 ?+ B% j2 ?9 n2 h" }
always #(CLK_B_PERIOD/2) clk_b = ~clk_b;
; O1 X) T1 R" ?* y* w( C+ ?$ `% D% ], e6 m  i! l6 e

9 `' A6 r: }. x/ c% ?5 l//gen clk_a domain test pattern  H6 v- V9 l% @4 h# y
integer m;
7 O: v0 T! g9 A1 J8 M: H6 T& i+ J4 R& M
initial
. |5 l4 L; d! N) Zbegin
$ m" B  h8 K( t* r5 `        //rst
" A  H, ^. {+ J( F" d4 M        #0
5 E* @4 g% @4 N$ t" k& A        clk_a = 1'b0;5 B, T/ k# L2 u
        repeat (10) @(negedge clk_a);- m: M. e* D3 T1 x- A* p9 n" m4 K
        rst_a = 1'b1;
3 ~) d  r. a' I3 V) `        repeat (10) @(negedge clk_a);1 o2 c5 M- ^! }" v& P9 |
        rst_a = 1'b0;
2 Q# B8 r# |! u6 a4 g5 f8 x# `        7 Q2 r, Q, V  e% p+ _7 t
        //wait clk_b domain rst done
; e7 C6 r& w, d+ i; c* p        repeat (1000) @(negedge clk_a);  ]' B# h3 L: e5 r
       
, I1 g2 t6 r, I# i5 @* m        //gen test signal$ X$ B4 _8 k. l6 s1 `" w
        for(m=0;m<10;m=m+1)$ r% X2 l' Q4 r$ d- M
        begin1 y1 A; g7 x3 p+ s
                enable_a =1'b1;
2 M8 |3 h4 _2 t" d                @(negedge clk_a);4 m4 F7 h; a) \# N
                enable_a =1'b0;0 }/ ?  s% b' \3 Z) K4 @$ a. b; B/ j
+ H4 N8 k% o+ o; R: W% C. L
                repeat (3) @(negedge clk_a);//modify!!!
# L* h  @3 U6 H+ f9 C: a8 J% [        end
6 i* B8 P# j  r       
+ u8 @  q8 a- Q        repeat (1000) @(negedge clk_a);$ Z, q5 V# I+ \5 `/ g9 l1 z
        $stop;
9 |# O$ D. A8 |        2 d% T) {6 ]) K% o6 e) j# L
end- t1 v) t, g" Z1 q$ ]! H

) J! X& l4 i: j; P6 Rinitial
) f( n# O5 V; ~0 X1 Q/ Tbegin1 Z" b3 ^0 I) ?0 f2 X& N
        //rst; L* Z- l" k+ z) ?0 P( E
        #0
! k, c7 m, _' @# X1 A! B& [        clk_b = 1'b0;7 ~1 N$ F  H4 @$ `
        repeat (10) @(posedge clk_b);, G, B* s$ I2 V: t6 o" A2 [
        rst_b = 1'b1;
* c0 d( [; g& [        repeat (10) @(posedge clk_b);
8 r8 x8 @6 I, H% d        rst_b = 1'b0;- R2 z7 d/ t# `& i9 p  }2 f
        2 Q: O: g. I2 T3 e9 x& O
end7 w  [) M3 ]& H$ \( x' L) v

/ S* J8 A2 M6 `& J/ y3 `" A
- _. B% Z' j4 Ksync SYNC0
5 T- t" {/ ^9 ~/ _(' s  L* v7 ^( l, t* a
.clk_a (clk_a),
( E- r, P! P: S1 `.rst_a (rst_a),
6 H9 B; t2 O- V, v.enable_a (enable_a),0 C+ c5 n0 r" `3 ~4 R6 ]0 |1 L

$ e. @+ u- J5 q6 H.clk_b (clk_b),+ e2 C0 U/ N& O6 `
.rst_b (rst_b),
( z2 I& X! X4 O( [! a% l: N.enable_b (enable_b)
7 k  l! A, E% w# |! `);; p% q, u# G; y. S$ ]; m0 Z/ e

* {$ p9 u2 r* ?$ J5 [9 pendmodule
6 j; k0 J% `) `4 t1 e" _
7 X4 E$ A! N  }5 q* N% [5 p/********* EOF *************/
3 a  W0 @' h. f* j. j# C$ [* N4 B8 ^6 w3 j% B
下面是修改后的前仿波形,如下图所示:
/ a" T& h6 s' e. |从中可以看出,clk_a时钟域一共产生了10次enab_a,但是clk_b时钟域只detect到了4次。0 }. N8 ?3 g, i  E
' i; u! ?* k6 N5 Z" P& Y. ?, X
$ l3 A  k# I+ V( T+ y: I6 V+ ^
4 v: A- K8 u# ^

2 `6 I' b5 k7 g( n* T+ a
" ~6 \  e1 z6 y! l  o5,前仿验证C2 `" p: A0 U4 f# ?" |; t$ T
上面是从快时钟同步到慢时钟,如果快时钟域的信号产生频率太高的话,就会造成丢失。
# J6 Y; K  Z$ l) ]- s8 k* b+ j5 o2 P/ J3 ^( ~6 d  ?6 P
那么如果是从慢时钟同步到快时钟呢?
' ~2 I$ D1 ], W, k, @7 b& R0 T/ y
+ `* x/ N( z. }% ?7 o( l( J, R将clk_a和clk_b的时钟周期互换,每隔一个clk_a就产生一个enable_a信号,结果如何呢?( b* X0 Q- a6 f2 b4 R

5 f2 u* O$ Z: C5 C) ^: K8 g( Z修改后的sync_tb.v:! T0 R8 n& \' X( {2 t! J, {
0 j5 Q  n7 V. j9 k2 k
' Y+ a. f, r' N6 F' U
1 v1 d' ?3 f5 ~8 j5 }1 P
/*
) Y5 I5 w2 _# h. D0 Y* file name                :sync_tb.v2 l$ Z/ G3 p' W+ F) j
* author                :Rill
0 o3 ]* D. E) v" s0 _/ z7 E3 C* date                        :2014-04-12/ F' l6 y, l4 W
*/
! w0 V0 S: |: L7 p) Z9 a3 e/ c# H+ j5 A) k+ ~3 Q2 L( d
`timescale 1ns/1ns& z& ?5 V% ~" ~5 T9 c

1 B- C8 I4 {  W% m! n
/ T" A% x" G( Q5 h7 Fmodule sync_tb;0 F- j' Z; m( i* n$ Z: y) y

" x5 l6 V# i& U% ireg clk_a;
/ ^; h+ [- u' R( Mreg rst_a;0 o5 _+ H6 z: u  K. {" I2 N1 b% r
reg enable_a;  ?& d: K8 k' l5 X7 s; C

- H7 ~8 M6 w) J, O" Ireg clk_b;$ F4 w1 _, e, C' O
reg rst_b;
- k' [0 \  M! H- uwire enable_b;4 y. _! p8 N: Y( e' B- `

! z" {% Z( ^8 X6 _; o. p" Y" h' J' l1 p' t# i
parameter CLK_A_PERIOD = 30;
% t) C& [# d+ F* f- Sparameter CLK_B_PERIOD = 10;
8 ^# {# k( F* o9 I& l& O( i( `( J
2 a: {- v9 j- z/ U1 h//gen clk_a
9 [/ N5 ^  A$ K) h- T  A$ G9 ~# Kalways #(CLK_A_PERIOD/2) clk_a = ~clk_a;2 \6 Z5 j8 [8 B; J, F
3 o0 o6 A* [( J1 [( c! j$ X3 Z
//gen clk_b3 J( _7 D6 N% N0 }
always #(CLK_B_PERIOD/2) clk_b = ~clk_b;
6 L' B: i5 `; W8 M+ ^
8 c- _$ f8 h/ G9 s8 y) L* s
" ~( ?' X  p6 Q0 u0 h: I9 e3 e//gen clk_a domain test pattern4 ~' @, v* ]" K, z# Y- `
integer m;) [* r- S, ]" l+ O) F* u$ b
  r1 s" d; L% \8 M3 o
initial5 v4 @! F2 z. X! W7 d$ C' B  W! w' M
begin4 k) N) i  O+ T' K1 A
        //rst
  f3 x6 Z- H$ `$ l3 D        #0
0 p1 ^% N& W- _- G        clk_a = 1'b0;
" t2 c9 b. H4 m* G; X        repeat (10) @(negedge clk_a);
% h: u1 V5 }4 \5 Z1 U! Q" C        rst_a = 1'b1;
9 B$ p% p# @/ t" V  n  z3 @2 F        repeat (10) @(negedge clk_a);
2 \. v/ b* f0 {, _% @. X* a        rst_a = 1'b0;
* q! ]+ M$ p; \0 M: B: ?        6 [9 _3 K  ^0 u+ |9 y5 E
        //wait clk_b domain rst done6 ]3 O! o9 J' F. G/ W
        repeat (1000) @(negedge clk_a);
6 B2 F4 Q3 o! M1 G  {2 k  O        ) \# s6 x# u. x6 I1 ]; q; x, x. ]6 O
        //gen test signal5 d" @4 V: f9 f, p" p6 [
        for(m=0;m<10;m=m+1)
# L# J& }; m5 _4 }        begin
1 e  F% {8 o: t3 d% u0 m: C: a                enable_a =1'b1;
$ E& `6 h+ O, \  [$ L4 a, J. k- G                @(negedge clk_a);
' v; ^, S4 y2 @* C) E1 C% X                enable_a =1'b0;
' l; D" Y% V. U3 M* X( r- R7 y8 M: U6 |# H. \. H% B+ S" O! c% z6 }
                repeat (1) @(negedge clk_a);//modify!!!
9 t" ~1 S* K2 \4 |( z. t) @        end* E; [2 u5 x9 A% x6 U; s
       
1 J; a3 ~' f4 w+ P. m        repeat (1000) @(negedge clk_a);
  q& \3 L8 }- l* f        $stop;, _  f  l- p0 d  r- ]
       
# e6 n+ _8 f3 d- X) c- i, w4 X/ |end
; h7 u, P" [( y9 ^* E* \- j1 v6 F4 `6 I% v$ N6 u3 S& {
initial
( C4 [" t/ J& G1 k" ?begin- {. H7 K4 L% C' p* h! k) Y
        //rst
2 H  Z. X9 F+ A6 _        #0
- @$ `! P5 E; d0 z1 ]        clk_b = 1'b0;
& Q  ^7 e( ]4 q' S        repeat (10) @(posedge clk_b);; Q: Z% J. g; b  c6 u
        rst_b = 1'b1;, p& ?7 ~( X/ `  ]1 X$ l7 U, \
        repeat (10) @(posedge clk_b);5 o3 _, Y9 @  p
        rst_b = 1'b0;# v  T# n2 h9 ]# {
       
$ ^+ n* P1 S' p5 Z* Q6 c; y: Oend  o, S# _4 h2 @* R5 h7 m. c$ T' x- j
% o) ~% x. @( i& l

+ X9 Z3 u! V' K; \* r& N$ E8 ksync SYNC0
  {" R" j3 v4 |; M# g+ q(
, G( t8 a3 T5 g$ S& a.clk_a (clk_a),
* b! j- T+ Q% R$ C7 |* ~9 ^.rst_a (rst_a),5 t" J9 P2 j$ t2 S. ^# ]! [
.enable_a (enable_a),
3 w) W; E! ]' F5 Z  [# e3 H+ K; ]2 r- T  L- R
.clk_b (clk_b),* J& f! H6 E& m
.rst_b (rst_b),5 i" b7 Q2 ^; x; M) f" [
.enable_b (enable_b)
+ E* Z! l; v: ^9 E. t);+ d9 Q0 Y5 e$ d# n- j

$ {7 c3 T$ y3 K, I1 }( W1 N7 rendmodule
8 R) a- i- H* L
7 F, w9 @9 M2 R6 E* b9 g! c/********* EOF *************/  B1 q  C6 a) p5 E8 \' k

+ H: ~7 [8 \4 V  d+ I4 {1 {  O7 I+ T( a$ D) ^
下面是修改后的前仿波形:$ h+ `! |) E8 l9 L7 H

. r* B- _* X' ]" G从中可以看出,即使慢时钟域每隔一个周期产生一个enable_a信号(一共10次),快时钟域也不会丢失(也检测到10次)。
0 j# ^. {* }- F0 Z+ K8 C- \8 C+ R# [% n* ]# S! I

- G0 f0 N4 i# _& n7 S4 x7 r " T2 y8 f6 K6 f2 @' t

( b) c8 J3 X: z4 _+ U! B% `
8 h2 ]. E2 k$ X( Y) m0 i
4 p. f& j! Y1 ?* T  k  _* _( E$ n" ^9 K
6,小结
$ ?: }$ m) M$ O5 r, }本小节我们对采用两级触发器实现双时钟域同步的问题进行了简单分析,其实除了快慢时钟的问题,还有亚稳态的问题,由于是前仿,没有延迟信息,所以看不到亚稳态情况。
" \. W: R1 ^5 U- ~# n/ p- s
( d* b  t, @" ?% y4 R- m3 P
0 Q5 P: m6 E5 T0 ~. a! R
; X0 T( \* X9 A! v
3 X; U3 l) s/ n1 i! h! l. y7 d9 f7 h& _0 R( ~; X8 L
1 H# J5 u: u' Z( K8 ~! M4 y( |
* K: V  b$ K6 V1 ~4 p

作者: NingW    时间: 2020-6-11 14:37
关于采用两级触发器实现双时钟域信号同步方法的分析与验证




欢迎光临 EDA365电子论坛网 (https://bbs.eda365.com/) Powered by Discuz! X3.2